Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/18927acmconferencesBook PagePublication PagesmicroConference Proceedingsconference-collections
MICRO 18: Proceedings of the 18th annual workshop on Microprogramming
ACM1985 Proceeding
Publisher:
  • Association for Computing Machinery
  • New York
  • NY
  • United States
Conference:
MICRO85: 18th Annual Workshop on Microprogramming Pacific Grove California USA December 3 - 6, 1985
ISBN:
978-0-89791-172-6
Published:
01 December 1985
Sponsors:
Next Conference
November 2 - 6, 2024
Austin , TX , USA
Reflects downloads up to 15 Oct 2024Bibliometrics
Abstract

No abstract available.

Skip Table Of Content Section
Article
Free
Design and specification of microprogrammed computer architectures

This paper presents a hierarchical firmware design method. It allows to structure the design of a microprogrammed (level of a) computer architecture into independently verifiable modules. To specify the behaviour of the system we use the axiomatic ...

Article
Free
Efficient hardware for multiway jumps and pre-fetches
Article
Free
A microprogrammable architecture with quasi time-transparent structured control

The paper is concerned with efficient implementation of evolved modular and structured microprogramming. A microprogrammable architecture is presented that permits designing hierarchical complicated modular microprograms at two distinct levels: the ...

Article
Free
An interactive diagnostic/debugging subsystem for bit-slice processors

This paper discusses the design and implementation of a debugging/diagnostic subsystem for a bit-slice processor. The subsystem uses serial shadow registers under the control of a single chip microcomputer both to observe and to control processor ...

Article
Free
A practical approach to the evaluation of microcode systems

This paper describes a microcode-evaluation methodology. The supporting test tools were developed by the IBM General Products Division in Tucson, Arizona, to allow effective and comprehensive evaluations of microcode systems. The methodology has been ...

Article
Free
Advances in microcode support software
Article
Free
Verification of microprogrammed computer architectures in the S*-system: a case study

We apply the verification methodology underlying the S*-System[12], [13] to the verification of a hierarchically structured design [16] of an emulation of the instruction-set of a commercially available computer on a commercially available micro-...

Article
Free
Compiling Prolog into microcode: a case study using the NCR/32-000

A proven method of obtaining high performance for Prolog programs is to first translate them into the instruction set of Warren's Abstract Machine, or W-code [1]. From that point, there are several models of execution available. This paper describes one ...

Article
Free
The architecture of the hardware unification unit and an implementation

This paper describes the architecture and the current implementation of the hardware unification unit (HUU). The HUU performs the literal unification operation in Prolog processing. It is designed as a coprocessor to a host system that handles other ...

Article
Free
HPS, a new microarchitecture: rationale and introduction

HPS (High Performance Substrate) is a new microarchitecture targeted for implementing very high performance computing engines. Our model of execution is a restriction on fine granularity data flow. This paper introduces the model, provides the rationale ...

Article
Free
Critical issues regarding HPS, a high performance microarchitecture

HPS is a new model for a high performance microarchitecture which is targeted for implementing very dissimilar ISP architectures. It derives its performance from executing the operations within a restricted window of a program out-of-order, ...

Article
Free
Hardware acceleration of logic simulation using a data flow microarchitecture

Current digital logic simulators running on engineering workstations lack capacity and speed. This paper discusses a hardware accelerator for a workstation simulator which addresses these problems. The accelerator runs 100x faster than its software ...

Article
Free
The design of an interactive compiler for optimizing microprograms

Microprogramming has traditionally been done in assembly language because of the perceived need for fast execution; compiler technology does not yet exist for discovering and performing many of the clever tricks of an experienced microprogrammer. ...

Article
Free
Target-independent high-level microprogramming

We describe a system which allows high-level microprogramming without requiring programmer knowledge of the target architecture, depending instead on retargetable microcode generation and optimization. In the ideal system the code generation, microcode ...

Article
Free
Microcode development for microprogrammed processors

The aim of this paper is to develop a top-down design automation tool for digital system design such as microprogrammed processors. The package contains a hardware description language to specify the design, a microcode development module to generate an ...

Article
Free
STEP development tools: METASTEP language system

STEP Development Tools (SDT) is a general-purpose microprogram development system. The METASTEP language system is composed of four tools of the SDT needed to write microprograms: a Definition Processor, a Retargetable Assembler, a Retargetable Cross-...

Article
Free
Microcode and the protection of intellectual effort

We believe that the intellectual efforts of persons should be protected, but that the needs of society must be protected, too. Substantial interest in this issue, as it pertains to the protection of microcode, has prompted the preparation of this paper ...

Article
Free
Some experiments in global microcode compaction

Global microcode compaction is an open problem in firmware engineering. Although Fisher's trace scheduling method may produce significant reductions in the execution time of compacted microcode, it has some drawbacks. There have been four methods. Tree, ...

Article
Free
JAM—just another microsequencer

JAM (Just Another Microsequencer) is a flexible - dual role microcode sequencer. It supports high performance N-Way microsequencing operations (traditional 360/370 type branch address generation techniques), along with more traditional (a la AMD 2910) ...

    Article
    Free
    A customized control store design in microprogrammed control units

    The paper reports on the control store cost minimization using an approach related to the bit reduction method. A methodology is presented for finding the microinstruction format which provides a minimum joint cost of the control store and ...

    Contributors
    • The University of Utah

    Recommendations

    Acceptance Rates

    Overall Acceptance Rate 484 of 2,242 submissions, 22%
    YearSubmittedAcceptedRate
    MICRO-482836122%
    MICRO-472795319%
    MICRO-462393916%
    MICRO 412104019%
    MICRO 401663521%
    MICRO 391744224%
    MICRO 381472920%
    MICRO 371582918%
    MICRO 361343526%
    MICRO 331103128%
    MICRO 321312721%
    MICRO 311082826%
    MICRO 301033534%
    Overall2,24248422%