Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/1950815.1950925acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Wire synthesizable global routing for timing closure

Published: 25 January 2011 Publication History

Abstract

Despite remarkable progress in the area of global routing, the burdens imposed by modern physical synthesis flows are far greater than those expected or anticipated by available (academic) routing engines. As interconnects dominate the path delay, physical synthesis such as buffer insertion and gate sizing has to integrate with layer assignment. Layer directives -- commonly generated during wire synthesis to meet tight frequency targets -- play a critical role in reducing interconnect delay of smaller technology nodes. Unfortunately, they are not presently understood or honored by leading global routers, nor do existing techniques trivially extend toward their resolution. The shortcomings contribute to a dangerous blindspot in optimization and timing closure, leading to unroutable and/or underperforming designs. In this paper, we aim to resolve the layer compliance problem in routing congestion evaluation and global routing, which is very critical for timing closure with physical synthesis. We propose a method of progressive projection to account for wire tags and layer directives, in which classes of nets are successively applied and locked while performing partial aggregation. The method effectively models the resource contention of layer constraints by faithfully accumulating capacity of bounded layer ranges, enabling three-dimensional assignment to subsequently achieve complete directive compliance. The approach is general, and can piggyback on existing interfaces used to communicate with popular academic engines. Empirical results on the ICCAD 2009 benchmarks demonstrate that our approach successfully routes many designs that are otherwise unroutable with existing techniques and naïve approaches.

References

[1]
http://www.ispd.cc/ispd07_contest.html.
[2]
http://www.sigda.org/ispd2008/contests/ispd08rc.html.
[3]
http://www.eecs.umich.edu/~mmoffitt/iccad2009.html.
[4]
C. J. Alpert, C. Chu, and P. G. Villarrubia. The coming of age of physical synthesis. In Proceedings of the 2007 International Conference on Computer-Aided Design (ICCAD 2007), 2007.
[5]
C. J. Alpert, A. Devgan, J. P. Fishburn, and S. T. Quay. Interconnect synthesis without wire tapering. IEEE Trans. on CAD of Integrated Circuits and Systems, 20(1):90--104, 2001.
[6]
C. J. Alpert, S. K. Karandikar, Z. Li, G.-J. Nam, S. T. Quay, H. Ren, C. N. Sze, P. G. Villarrubia, and M. C. Yildiz. Techniques for fast physical synthesis. Proceedings of the IEEE, 95:573--599, 2007.
[7]
Y.-J. Chang, Y.-T. Lee, and T.-C. Wang. NTHU-Route 2.0: a fast and stable global router. In Proceedings of the 2008 International Conference on Computer-Aided Design (ICCAD 2008), pages 338--343, 2008.
[8]
H.-Y. Chen, C.-H. Hsu, and Y.-W. Chang. High-performance global routing with fast overflow reduction. In Proceedings of the 14th Asia South Pacific Design Automation Conference (ASP-DAC 2009), pages 582--587, 2009.
[9]
M. Cho, K. Lu, K. Yuan, and D. Z. Pan. BoxRouter 2.0: A hybrid and robust global router with layer assignment for routability. ACM Trans. Design Autom. Electr. Syst., 14(2), 2009.
[10]
D. W. Hightower. A solution to line routing problems on the continuous plane. In The Sixth Design Automation Workshop, pages 1--24, 1969.
[11]
J. Hu and S. S. Sapatnekar. A survey on multi-net global routing for integrated circuits. Integration, the VLSI Journal, 31(1): 1--49, 2001.
[12]
C. Y. Lee. An algorithm for path connection and its applications. IRE Transactions on Electronic Computers, EC-10(3):346--365, 1961.
[13]
T.-H. Lee and T.-C. Wang. Congestion-constrained layer assignment for via minimization in global routing. IEEE Trans. on CAD of Integrated Circuits and Systems, 27(9):1643--1656, 2008.
[14]
Z. Li, C. J. Alpert, S. Hu, T. Muhmud, S. T. Quay, and P. G. Villarrubia. Fast interconnect synthesis with layer assignment. In Proceedings of the 2008 International Symposium on Physical Design (ISPD 2008), pages 71--77, 2008.
[15]
J. Lillis, C.-K. Cheng, and T.-T. Y. Lin. Optimal wire sizing and buffer insertion for low power and a generalized delay model. In Proceedings of the 1995 International Conference on Computer-Aided Design (ICCAD 1995), pages 138--143, 1995.
[16]
M. D. Moffitt. MaizeRouter: Engineering an effective global router. IEEE Trans. on CAD of Integrated Circuits and Systems, 27(11):2017--2026, 2008.
[17]
M. D. Moffitt. Global routing revisited. In Proceedings of the 2009 International Conference on Computer-Aided Design (ICCAD 2009), pages 805--808, 2009.
[18]
M. D. Moffitt, J. A. Roy, and I. L. Markov. The coming of age of (academic) global routing. In Proceedings of the 2008 International Symposium on Physical Design (ISPD 2008), pages 148--155, 2008.
[19]
E. F. Moore. Shortest path through a maze. Annals of Computation Laboratory, pages 285--292, 1959.
[20]
G.-J. Nam, C. C. N. Sze, and M. C. Yildiz. The ISPD global routing benchmark suite. In Proceedings of the 2008 International Symposium on Physical Design (ISPD 2008), pages 156--159, 2008.
[21]
G.-J. Nam, M. C. Yildiz, D. Z. Pan, and P. H. Madden. ISPD placement contest updates and ISPD 2007 global routing contest. In Proceedings of the 2007 International Symposium on Physical Design (ISPD 2007), page 167, 2007.
[22]
M. M. Ozdal and M. D. F. Wong. Archer: A history-based global routing algorithm. IEEE Trans. on CAD of Integrated Circuits and Systems, 28(4):528--540, 2009.
[23]
J. A. Roy and I. L. Markov. High-performance routing at the nanometer scale. IEEE Trans. on CAD of Integrated Circuits and Systems, 27(6):1066--1077, 2008.
[24]
P. Saxena, N. Menezes, P. Cocchini, and D. Kirkpatrick. Repeater scaling and its impact on CAD. IEEE Trans. on CAD of Integrated Circuits and Systems, 23(4):451--463, 2004.
[25]
L. Scheffer, L. Lavagno, and G. Martin. EDA for IC Implementation, Circuit Design, and Process Technology. CRC, 2006.
[26]
L. P. P. P. van Ginneken. Buffer placement in distributed RC-tree network for minimal elmore delay. In Proc. IEEE Int. Symp. Circuits Syst., pages 865--868, 1990.
[27]
T.-H. Wu, A. Davoodi, and J. T. Linderoth. GRIP: Scalable 3D global routing using integer programming. In Proceedings of the 46th Design Automation Conference (DAC 2009), pages 320--325, 2009.
[28]
Y. Xu, Y. Zhang, and C. Chu. FastRoute 4.0: global router with efficient via minimization. In Proceedings of the 14th Asia South Pacific Design Automation Conference (ASP-DAC 2009), pages 576--581, 2009.

Cited By

View all
  • (2015)Global Routing with Inherent Static Timing ConstraintsProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840834(102-109)Online publication date: 2-Nov-2015
  • (2014)A study on unroutable placement recognitionProceedings of the 2014 on International symposium on physical design10.1145/2560519.2560522(19-26)Online publication date: 30-Mar-2014
  • (2013)CATALYSTProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485728(1873-1878)Online publication date: 18-Mar-2013
  • Show More Cited By

Index Terms

  1. Wire synthesizable global routing for timing closure

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        ASPDAC '11: Proceedings of the 16th Asia and South Pacific Design Automation Conference
        January 2011
        841 pages
        ISBN:9781424475162

        Sponsors

        Publisher

        IEEE Press

        Publication History

        Published: 25 January 2011

        Check for updates

        Qualifiers

        • Research-article

        Conference

        ASPDAC '11
        Sponsor:

        Acceptance Rates

        Overall Acceptance Rate 466 of 1,454 submissions, 32%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 08 Feb 2025

        Other Metrics

        Citations

        Cited By

        View all
        • (2015)Global Routing with Inherent Static Timing ConstraintsProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840834(102-109)Online publication date: 2-Nov-2015
        • (2014)A study on unroutable placement recognitionProceedings of the 2014 on International symposium on physical design10.1145/2560519.2560522(19-26)Online publication date: 30-Mar-2014
        • (2013)CATALYSTProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485728(1873-1878)Online publication date: 18-Mar-2013
        • (2013)Routing congestion estimation with real design constraintsProceedings of the 50th Annual Design Automation Conference10.1145/2463209.2488847(1-8)Online publication date: 29-May-2013
        • (2013)BonnRouteACM Transactions on Design Automation of Electronic Systems10.1145/2442087.244210318:2(1-24)Online publication date: 11-Apr-2013

        View Options

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Figures

        Tables

        Media

        Share

        Share

        Share this Publication link

        Share on social media