Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/1950815.1950954acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

AVS-aware power-gate sizing for maximum performance and power efficiency of power-constrained processors

Published: 25 January 2011 Publication History

Abstract

Power-gating devices incur a small amount of voltage drop across them when they are on in active mode, degrading the maximum frequency of processors. Thus, large power-gating devices are often implemented to minimize the drop (thus the frequency degradation), requiring considerable die area. Meanwhile, adaptive voltage scaling has been used to improve yield of power-constrained processors exhibiting a large spread of maximum frequency and total power due to process variations. In this paper, first, we analyze the impact of power-gating device size on both maximum frequency and total power of processors in the presence of process variation. Second, we propose a methodology that optimizes both the size of power-gating devices and the degree of adaptive voltage scaling jointly such that we minimize the device size while maximizing performance and power efficiency of power-constrained processors. Finally, we extend our analysis and optimization for multi-core processors adopting frequency-island clocking scheme. Our experimental results using a 32nm technology model demonstrates that the joint optimization considering both die-to-die and within-die variations reduces the size of power-gating devices by more than 50% with 3% frequency improvement for power-constrained multi-core processors. Further, the optimal size of power-gating devices for multi-core processors using the frequency-island clocking scheme increases gradually while the optimal supply voltage decreases as the number of cores per die increases.

References

[1]
http://www.intel.com/multi-core/
[2]
http://multicore.amd.com/
[3]
S. Borkar et al. Design and reliability challenges in nanometer technologies. In Proc. of IEEE DAC, pp. 75, June 2004.
[4]
K. Shi et al. Challenges in sleep transistor design and implementation in low power designs. In Proc. of IEEE DAC, pp. 113~116, June 2006.
[5]
S. Sarangi et al. VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects, IEEE Transactions on Semiconductor Manufacturing, vol. 21, no. 1, pp. 3~13, Feb. 2008.
[6]
Intel Turbo Boost Technology in Intel Core Micro-architecture (Nehalem) Based Processors. White Paper. 2008.
[7]
J. Tschanz et al. Effectiveness of Adaptive Supply Voltage and Body Bias for Reducing Impact of Parameter Variations in Low Power and High Performance Microprocessors. IEEE JSSC, vol. 38, no. 5, pp. 826~829, May 2003.
[8]
K. Aygun et al. Power delivery for high-performance microprocessor. Intel Technology Journal, vol. 9, no. 4, pp. 273~283, Nov. 2005.
[9]
P. Bose, M. Martonosi, and D. Brooks. Modeling and Analyzing CPU Power and Performance: Metrics, Methods, and Abstractions. Tutorial, ACM SIGMETRICS, 2001.
[10]
J. Lee et al. Optimizing throughput of power- and thermal-constrained multicore processors using DVFS and per-core power-gating. In Proc. of IEEE DAC, pp. 47~50, 2009.
[11]
Predictive technology model. http://www.eas.asu.edu/~ptm
[12]
http://www.originlab.com
[13]
A. Sinkar et al. Analyzing and minimizing effects of temperature variation and BTI on active leakage power of power-gated Circuits. In Proc. of IEEE ISQED, pp. 791~796, 2010.
[14]
A. Bakhoda et al. Analyzing CUDA workloads using a detailed GPU simulator. In Proc. of IEEE ISPASS, pp. 163--174, 2009.
[15]
K. Bowman et al. Impact of die-to-die and within-die parameter variations on the throughput distribution of multi-core processors. In Proc. of IEEE ISLPED, pp. 50~55, 2008.

Cited By

View all
  • (2018)Maximizing frequency and yield of power-constrained designs using programmable power-gatingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.216353320:10(1885-1890)Online publication date: 29-Dec-2018

Index Terms

  1. AVS-aware power-gate sizing for maximum performance and power efficiency of power-constrained processors

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ASPDAC '11: Proceedings of the 16th Asia and South Pacific Design Automation Conference
      January 2011
      841 pages
      ISBN:9781424475162

      Sponsors

      Publisher

      IEEE Press

      Publication History

      Published: 25 January 2011

      Check for updates

      Qualifiers

      • Research-article

      Conference

      ASPDAC '11
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 466 of 1,454 submissions, 32%

      Upcoming Conference

      ASPDAC '25

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 10 Nov 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2018)Maximizing frequency and yield of power-constrained designs using programmable power-gatingIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.216353320:10(1885-1890)Online publication date: 29-Dec-2018

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media