Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/2561828.2561839acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

ForTER: a forward error correction scheme for timing error resilience

Published: 18 November 2013 Publication History

Abstract

With technology scaling, integrated circuits suffer from increasingly severe static and dynamic variations, which often manifest themselves as infrequent timing errors on circuit speed paths, if a large timing guard-band is not reserved. This paper presents a new forward timing error correction scheme, namely ForTER, which predicts whether the occurrence of timing errors would propagate to the next level of sequential elements and corrects them without necessarily borrowing timing slack. The proposed technique can be combined with other timing error resilient circuit design techniques to further improve circuit performance, as demonstrated in our experimental results with various benchmark circuits.

References

[1]
S. Borkar. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation. IEEE Micro, pp 10--16, 2005.
[2]
D. Frank, R. Puri, and D. Toma. Design and CAD Challenges in 45nm CMOS and beyond. In Proc. International Conference on Computer-Aided Design (ICCAD), pp. 329--333, 2006.
[3]
K. Bowman, et al. Circuit techniques for dynamic variation tolerance. In Proc. ACM/IEEE Design Automation Conference (DAC), pp. 4--7, 2009.
[4]
D. Ernst, et.al. Razor: A low-power pipeline based on circuit-level timing speculation. In Proc. IEEE/ACM International Symposium on Microarchitecture, pp. 7--18, 2003.
[5]
K. Bowman, et al. Energy-efficient and metastability-immune resilient circuits for dynamic variation tolerance. IEEE JSSC, 44(1):49--63, 2009.
[6]
B. Greskamp and J. Torrellas. Paceline: Improving single-thread performance in nanoscale CMPs through core overclocking. In Proc. International Conference on Parallel Architecture and Compilation Techniques, pp. 213--224, 2007.
[7]
L. Wan and D. Chen. Dynatune: circuit-level optimization for timing speculation considering dynamic path behavior. In Proc. International Conference on Computer-Aided Design (ICCAD), pp. 172--179, 2007.
[8]
B. Greskamp, et al. Blueshift: Designing processors for timing speculation from the ground up. In IEEE International Symposium on High Performance Computer Architecture, pp. 213--224, 2009.
[9]
A. B. Kahng, S. Kang, R. Kumar, and J. Sartori. Slack redistribution for graceful degradation under voltage overscaling. In Proc. Asia and South Pacific Design Automation Conference (ASPDAC), pp. 825--831, 2010.
[10]
Y. Liu, F. Yuan, and Q. Xu. Re-synthesis for cost-efficient circuit-level timing speculation. In Proc. ACM/IEEE Design Automation Conference (DAC), pp. 158--163, 2011
[11]
Y. Liu, et al., On logic synthesis for timing speculation. In Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 591--596, 2012.
[12]
R. Ye, and F. Yuan, and Q. Xu, Online clock skew tuning for timing speculation. In Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 442--447, 2011.
[13]
M. R. Choudhury and K. Mohanram. Masking timing errors on speed-paths in logic circuits. In Proc. IEEE/ACM Design, Automation, and Test in Europe (DATE), pp. 87--92, 2009.
[14]
F. Yuan, and Q. Xu. InTimeFix: a low-cost and scalable technique for in-situ timing error masking in logic circuits. In Proc. ACM/IEEE Design Automation Conference (DAC), pp. 1--6, 2013.
[15]
M. Kurimoto, et al. Phase-adjustable error detection flip-flops with 2-stage hold driven optimization and slack based grouping scheme for dynamic voltage scaling. In Proc. ACM/IEEE Design Automation Conference (DAC), pp. 884--889, 2008.
[16]
M. Wieckowski, et al. Timing yield enhancement through soft edge flip-flop based design. In Proc. IEEE Custom Integrated Circuits Conference (CICC), pp. 543--546, 2008.
[17]
M. R. Choudhury and K. Mohanram. TIMBER: Time borrowing and error relaying for online timing error resilience. In Proc. IEEE/ACM Design, Automation, and Test in Europe (DATE), pp. 1554--1559, 2010.
[18]
B. Steinbach and C. Posthoff. Boolean differential equations. In Proc. International Workshops on Post-Binary ULSI Systems, pp. 46--53, 2011.
[19]
S. Kosuch, and A. Lisser, Upper bounds for the 0-1 stochastic knapsack problem and a B&B algorithm. In Journal of Annals of Operations Research, pp. 77--93, 2010.
[20]
M. R. Choudhury and K. Mohanram. Approximate logic circuits for low overhead, non-intrusive concurrent error detection. In Proc. IEEE/ACM Design, Automation, and Test in Europe (DATE), pp. 903--908, 2008.
[21]
http://www.eecs.berkeley.edu/alanmi/abc/.

Cited By

View all
  • (2017)Error-Resilient Integrated Clock Gate for Clock-Tree Power Optimization on a Wide Voltage IOT ProcessorIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.265248225:5(1681-1693)Online publication date: 1-May-2017
  • (2017)Design of Low-Power Voltage Scalable Arithmetic Units with Perfect Timing Error CancelationCircuits, Systems, and Signal Processing10.1007/s00034-017-0534-536:11(4309-4325)Online publication date: 1-Nov-2017

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '13: Proceedings of the International Conference on Computer-Aided Design
November 2013
871 pages
ISBN:9781479910694
  • General Chair:
  • Jörg Henkel

Sponsors

Publisher

IEEE Press

Publication History

Published: 18 November 2013

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD'13
Sponsor:
ICCAD'13: The International Conference on Computer-Aided Design
November 18 - 21, 2013
California, San Jose

Acceptance Rates

ICCAD '13 Paper Acceptance Rate 92 of 354 submissions, 26%;
Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 10 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2017)Error-Resilient Integrated Clock Gate for Clock-Tree Power Optimization on a Wide Voltage IOT ProcessorIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.265248225:5(1681-1693)Online publication date: 1-May-2017
  • (2017)Design of Low-Power Voltage Scalable Arithmetic Units with Perfect Timing Error CancelationCircuits, Systems, and Signal Processing10.1007/s00034-017-0534-536:11(4309-4325)Online publication date: 1-Nov-2017

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media