Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/2755753.2755849acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems

Published: 09 March 2015 Publication History

Abstract

As process technology continues to shrink, a large number of bitcells in on-chip caches is expected to be faulty. The number of defective cells varies from die-to-die, wafer-to-wafer, and in the field of application depends on the run-time operating conditions (e.g., supply voltage and frequency). Those trends necessitate i) to study fault-tolerant (FT) cache mechanisms in a wide spectrum of fault-probabilities and ii) to devise appropriate FT techniques that must be able to adapt their FT capacity to the volume of defective locations of the target faulty caches.
It is well known that keeping the cache capacity, block size and the volume of defective cells constant, the average number of misses, due to faulty cells, decreases as the associativity of the cache increases. To this end, we propose DARCA, a Defect-Aware Reconfigurable Cache Architecture, which is equipped with the ability of dynamically varying its associativity according to the volume of defective cells. To keep the hardware overhead very small, as the associativity of the cache is multiplied by a power of two, its block size is divided by the same number. Since almost all contemporary processors use prefetching, we also applied DARCA to prefetch-assisted caches. By performing cycle-accurate simulations for the SPEC2006 benchmarks assuming a wide range of fault-probabilities, we show that DARCA compares favorably against several known FT cache mechanisms with respect to the performance loss caused by defective cells.

References

[1]
J. Abella, J. Carretero et al., "Low Vccmin Fault-Tolerant Cache with Highly Predictable Performance," in Proc. of Intl. Symposium on Microarchitecture, 2009.
[2]
J. Abella, E. Quinones et al., "RVC: a Mechanism for Time-Analyzable Real-Time Processors with Faulty Caches," in Proc. of Intl. Conference on High Performance and Embedded Architectures and Compilers, 2011.
[3]
A. Ansari, S. Gupta et al., "Zerehcache: Armoring Cache Architectures in High Defect Density Technologies," in Proc. of Intl. Symposium on Microarchitecture, 2009.
[4]
J. Baer and T. Chen, "Effective Hardware-Based Data Prefetching for High-Performance Processors," in Transactions on Computer, 1995.
[5]
Z. Chishti, A. Alameldeen et al., "Improving Cache Lifetime Reliability at Ultra-Low Voltages," in Proc. of Intl. Symposium on Microarchitecture, 2009.
[6]
Y. Choi, S. Yoo et al., "MAEPER: Matching Access and Error Patterns With Error-Free Resource for Low Vcc L1 Cache," in Transactions on VLSI, 2013.
[7]
G. Keramidas, M. Mavropoulos et al., "Spatial Pattern Prediction Based Management of Faulty Data Caches," in Proc. of Intl. Conference Design, Automation, and Test in Europe, 2014.
[8]
C. K. Koh, W. F Wong et al., "Tolerating Process Variations in Large Set Associative Caches: The Buddy Cache," in Transactions on Architecture and Code Optimization, 2009.
[9]
J. Kulkarni, K. Kim, and K. Roy. "A 160 mV Robust mitt Trigger Based Subthreshold SRAM," in Journal of Solid-State Circuits, 2007.
[10]
N. Ladas, Y. Sazeides, and V. Desmet. "Performance-Effective Operation below Vcc-min," in Proc. of Intl. Symposium on Performance Analysis of Systems & Software, 2010.
[11]
H. Lee, S. Cho, and B. R. Childers. DEFCAM: A design and evaluation framework for defect-tolerant cache memories," in Transactions on Architecture and Code Optimization, 2011.
[12]
T. Mahmood and S. Kim. "Realizing Near-True Voltage Scaling in Variation-Sensitive L1 Caches via Fault Buffers," in Proc. of Intl. Conference on Compilers, Architectures and Synthesis for Embedded Systems, 2011.
[13]
A. B. Mofrad, H. Homayoun, and N. Dutt. "FFT-cache: a Flexible Fault-Tolerant Cache Architecture for Ultra Low Voltage Operation," in Proc. of Intl. Conference on Compilers, Architectures, and Synthesis for Embedded Systems, 2011.
[14]
N. Muralimanohar, R. Balasubramonian, and N. Jouppi. "CACTI 6.0: A Tool to Model Large Caches," Technical report, HP Laboratories, 2009.
[15]
H. T. Vergos and D. Nikolos. "Performance Recovery in Direct-Mapped Faulty Caches via the Use of a Very Small Fully Associative Spare Cache," in Proc. of Intl. Computer Performance and Dependability Symposium, 1995.
[16]
C. Wilkerson, H. Gao et.al. "Trading off Cache Capacity for Reliability to Enable Low Voltage Operation," in Proc. of Intl. Symposium on Computer Architecture, 2008.

Cited By

View all
  • (2017)Low-Cost Memory Fault Tolerance for IoT DevicesACM Transactions on Embedded Computing Systems10.1145/312653416:5s(1-25)Online publication date: 27-Sep-2017

Index Terms

  1. A defect-aware reconfigurable cache architecture for low-vccmin DVFS-enabled systems

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        DATE '15: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition
        March 2015
        1827 pages
        ISBN:9783981537048

        Sponsors

        Publisher

        EDA Consortium

        San Jose, CA, United States

        Publication History

        Published: 09 March 2015

        Check for updates

        Qualifiers

        • Research-article

        Conference

        DATE '15
        Sponsor:
        • EDAA
        • EDAC
        • SIGDA
        • Russian Acadamy of Sciences
        DATE '15: Design, Automation and Test in Europe
        March 9 - 13, 2015
        Grenoble, France

        Acceptance Rates

        DATE '15 Paper Acceptance Rate 206 of 915 submissions, 23%;
        Overall Acceptance Rate 518 of 1,794 submissions, 29%

        Upcoming Conference

        DATE '25
        Design, Automation and Test in Europe
        March 31 - April 2, 2025
        Lyon , France

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)1
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 13 Jan 2025

        Other Metrics

        Citations

        Cited By

        View all
        • (2017)Low-Cost Memory Fault Tolerance for IoT DevicesACM Transactions on Embedded Computing Systems10.1145/312653416:5s(1-25)Online publication date: 27-Sep-2017

        View Options

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media