Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/3199700.3199737acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

A novel two-stage modular multiplier based on racetrack memory for asymmetric cryptography

Published: 13 November 2017 Publication History
  • Get Citation Alerts
  • Abstract

    Asymmetric cryptography algorithms such as RSA are widely used in applications such as blockchain technology and cloud computing to ensure the security and privacy of data. However, the encryption and decryption operations of asymmetric cryptography algorithms involve many computation-intensive multiplications, which require high memory bandwidth and involve large performance and resource overhead. Emerging non-volatile memory technologies such as racetrack memory are regarded to be promising for all levels of memory hierarchy to reduce the area and power overhead due to their high data density and nearly zero leakage. In this paper, we propose an efficient racetrack memory based in-memory design to accelerate the modular multiplication for asymmetric cryptography algorithms. A novel two-stage scalable modular multiplication algorithm is proposed to significantly improve the delay. An efficient architecture is further developed to reduce the number of required adders by half. Experimental results show that our proposed scheme improves the energy efficiency by 45.9%, the area efficiency by 93.6% and achieves 8x of throughput per area compared with the state-of-the-art CMOS-based implementation.

    References

    [1]
    S. S. Parkin, M. Hayashi, and L. Thomas, "Magnetic domain-wall racetrack memory," Science, vol. 320, no. 5873, pp. 190--194, 2008.
    [2]
    Y. Wang, H. Yu, D. Sylvester, and P. Kong, "Energy efficient in-memory aes encryption based on nonvolatile domain-wall nanowire," in Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014. IEEE, 2014, pp. 1--4.
    [3]
    M. Hayashi, L. Thomas, R. Moriya, C. Rettner, and S. S. Parkin, "Current-controlled magnetic domain-wall nanowire shift register," Science, vol. 320, no. 5873, pp. 209--211, 2008.
    [4]
    Z. Sun, W. Wu, and H. H. Li, "Cross-layer racetrack memory design for ultra high density and low power consumption," in Proceedings of the 50th Annual Design Automation Conference. ACM, 2013, p. 53.
    [5]
    T. Luo, W. Zhang, B. He, and D. Maskell, "A racetrack memory based in-memory booth multiplier for cryptography application," in Design Automation Conference (ASP-DAC), 2016 21st Asia and South Pacific. IEEE, 2016, pp. 286--291.
    [6]
    M. Mao, W. Wen, Y. Zhang, Y. Chen, and H. H. Li, "Exploration of gpgpu register file architecture using domain-wall-shift-write based racetrack memory," in Proceedings of the 51st Annual Design Automation Conference. ACM, 2014, pp. 1--6.
    [7]
    R. Venkatesan, S. G. Ramasubramanian, S. Venkataramani, K. Roy, and A. Raghunathan, "Stag: Spintronic-tape architecture for gpgpu cache hierarchies," in Computer Architecture (ISCA), 2014 ACM/IEEE 41st International Symposium on. IEEE, 2014, pp. 253--264.
    [8]
    G. W. Burr, B. N. Kurdi, J. C. Scott, C. H. Lam, K. Gopalakrishnan, and R. S. Shenoy, "Overview of candidate device technologies for storage-class memory," IBM Journal of Research and Development, vol. 52, no. 4.5, pp. 449--464, 2008.
    [9]
    C. Zhang, G. Sun, X. Zhang, W. Zhang, W. Zhao, T. Wang, Y. Liang, Y. Liu, Y. Wang, and J. Shu, "Hi-fi playback: Tolerating position errors in shift operations of racetrack memory," in ACM SIGARCH Computer Architecture News, vol. 43, no. 3. ACM, 2015, pp. 694--706.
    [10]
    R. L. Rivest, A. Shamir, and L. Adleman, "A method for obtaining digital signatures and public-key cryptosystems," Communications of the ACM, vol. 21, no. 2, pp. 120--126, 1978.
    [11]
    P. L. Montgomery, "Modular multiplication without trial division," Mathematics of computation, vol. 44, no. 170, pp. 519--521, 1985.
    [12]
    P. Giorgi, L. Imbert, and T. Izard, "Parallel modular multiplication on multi-core processors," in Computer Arithmetic (ARITH), 2013 21st IEEE Symposium on. IEEE, 2013, pp. 135--142.
    [13]
    M.-D. Shieh and W.-C. Lin, "Word-based montgomery modular multiplication algorithm for low-latency scalable architectures," IEEE transactions on computers, vol. 59, no. 8, pp. 1145--1151, 2010.
    [14]
    W.-C. Lin, J.-H. Ye, and M.-D. Shieh, "Scalable montgomery modular multiplication architecture with low-latency and low-memory bandwidth requirement," IEEE Transactions on Computers, vol. 63, no. 2, pp. 475--483, 2014.
    [15]
    A. F. Tenca and Ç. K. Koç, "A scalable architecture for modular multiplication based on montgomery's algorithm," IEEE Transactions on computers, vol. 52, no. 9, pp. 1215--1221, 2003.
    [16]
    Q. Stainer, L. Lombard, K. Mackay, R. Sousa, I. Prejbeanu, and B. Dieny, "Mram with soft reference layer: In-stack combination of memory and logic functions," in Memory Workshop (IMW), 2013 5th IEEE International. IEEE, 2013, pp. 84--87.
    [17]
    S. Nangate, "California (2008). 45nm open cell library," URL¡ http://www.nangate.com, 2008.
    [18]
    Y. Zhang, W. Zhao, D. Ravelosona, J.-O. Klein, J. Kim, and C. Chappert, "Perpendicular-magnetic-anisotropy cofeb racetrack memory," Journal of Applied Physics, vol. 111, no. 9, p. 093925, 2012.
    [19]
    C. Zhang, G. Sun, W. Zhang, F. Mi, H. Li, and W. Zhao, "Quantitative modeling of racetrack memory, a tradeoff among area, performance, and power," in Design Automation Conference (ASP-DAC), 2015 20th Asia and South Pacific. IEEE, 2015, pp. 100--105.
    [20]
    X. Dong, C. Xu, Y. Xie, and N. P. Jouppi, "Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 7, pp. 994--1007, July 2012.

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '17: Proceedings of the 36th International Conference on Computer-Aided Design
    November 2017
    1077 pages

    Sponsors

    In-Cooperation

    • IEEE-EDS: Electronic Devices Society

    Publisher

    IEEE Press

    Publication History

    Published: 13 November 2017

    Check for updates

    Author Tags

    1. asymmetric cryptography
    2. modular multiplier
    3. racetrack memory

    Qualifiers

    • Research-article

    Conference

    ICCAD '17
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Upcoming Conference

    ICCAD '24
    IEEE/ACM International Conference on Computer-Aided Design
    October 27 - 31, 2024
    New York , NY , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 55
      Total Downloads
    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 26 Jul 2024

    Other Metrics

    Citations

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media