Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                

The Evolution of Applications, Hardware Design, and Channel Modeling for Terahertz (THz) Band Communications and Sensing: Ready for 6G?

Josep M. Jornet, , Vitaly Petrov, , Hua Wang, ,
Zoya Popovic, , Dipankar Shakya, , Jose V. Siles, , and Theodore S. Rappaport
Josep M. Jornet is with the Institute for the Wireless Internet of Things, Northeastern University, USA.Vitaly Petrov is with the Division of Communication Systems, KTH Royal Institute of Technology, Sweden.Hua Wang is with the Department of Information Technology and Electrical Engineering, ETH Zürich, Switzerland.Zoya Popovic is with the Department of Electrical, Computer and Energy Engineering, University of Colorado Bouldar, USA.Theodore S. Rappaport and Dipankar Shkya are with NYU Wireless, New York University, USA.Jose V. Siles is with the NASA Jet Propulsion Laboratory, USA.The work has been supported in part by the National Science Foundation grants CNS-1955004, CNS-2011411, CNS-2117814, CNS-2216332, CNS-2037845, CNS-1909206, the NYU WIRELESS Industrial Affiliates program, and the Commission of the European Union CHIPS Joint Undertaking SHIFT project Grant Agreement No. 101096256.
Abstract

For decades, the THz frequency band had been primarily explored in the context of radar, imaging, and spectroscopy, where multi-gigahertz (GHz) and even THz-wide channels and the properties of terahertz photons offered attractive target accuracy, resolution, and classification capabilities. Meanwhile, the exploitation of the terahertz band for wireless communication had originally been limited due to several reasons, including (i) no immediate need for such high data rates available via terahertz bands and (ii) challenges in designing sufficiently high power terahertz systems at reasonable cost and efficiency, leading to what was often referred to as “the terahertz gap.” Over the recent decade, advances on many fronts have drastically changed the terahertz landscape. First, the evolution from 5G-grade to 6G-grade wireless systems dictates the need to support novel bandwidth-hungry applications and services for both data transfer (i.e., eXtended Reality (XR), the Metaverse, and vast modeling needs of artificial intelligence (AI) and machine learning (ML)), as well as centimeter-precision sensing and classification (i.e., for standalone position location, vehicle-to-everything (V2X) or unmanned aerial vehicle (UAV) tracking). Second, substantial progress in terahertz hardware has been achieved, offering promise that the terahertz technology gap will be closed. Hence, terahertz-band wireless communication seems inevitably an essential part of the future networking technology landscape in coming decades. To design efficient terahertz systems, the peculiarities of terahertz hardware and terahertz channels need to be understood and accounted for. This roadmap paper first reviews the evolution of the hardware design approaches for terahertz systems, including electronic, photonic, and plasmonic approaches, and the understanding of the terahertz channel itself, in diverse scenarios, ranging from common indoors and outdoors scenarios to intra-body and outer-space environments. The article then summarizes the lessons learned during this multi-decade process and the cutting-edge state-of-the-art findings, including novel methods to quantify power efficiency, which will become more important in making design choices. Finally, the manuscript presents the authors’ perspective and insights on how the evolution of terahertz systems design will continue toward enabling efficient terahertz communications and sensing solutions as an integral part of next-generation wireless systems.

Index Terms:
Terahertz Communication; Sub-millimeter-waves; 6G; Hardware; Channel Modeling

I Introduction

Each generation of the global cellphone industry has produced technological innovations that brought forth unexpected new use cases that exploit greater channel bandwidths and data rates. From the early days of analog cellular using 25 kHz or 30 kHz channels in the first generation (1G) of cellphones, today’s 5G cellphone standards (e.g., the 3rd Generation Partnership Project (3GPP)) exploit orthogonal frequency division modulation (OFDM), multiple-input multiple-output (MIMO) antennas as well as massive MIMO, and use many concatenated channel bandwidths, in 20 MHz chunks, allowing over 100 MHz of usable bandwidth with hundreds of megabits-per-second per user.

5G was the first global cellphone standard also to introduce spectrum above 6 GHz, and ushered in the era of millimeter wave (mmWave) communications [1], where both frequency division duplexing (FDD) and time division duplexing (TDD) can implement multi-gigabits-per-second data rates in 200 MHz channel chunks and have enabled wireless carriers to implement both mobile services in urban cores with high pedestrian traffic loads and large venues (such as stadiums) as well as offering fixed wireless access (FWA) for homes and businesses in an unexpected and profitable way. Not all governments or carriers have adopted the mmWave bands, leading to varying opinions about the efficacy of mmWave. Yet, virtually all global cellphone makers and infrastructure vendors now ship mmWave transceivers as part of their product offerings. In the unlicensed device arena, mmWave has found Wi-Fi adoption through IEEE 802.11ad, and more recently, IEEE 802.11ay, which theoretically supports up to 100 Gbps data transfers. The move to greater bandwidths appears inevitable as more applications and services require massive data transfer rates.

Immediately after the release of 5G [2], both academia and industry started to envision what 6G should bring to the users and, accordingly, what technologies will be needed [3, 4, 5, 6, 7]. The need to accommodate the exponentially growing number of wirelessly connected devices and their mounting data-rate requirements motivated the exploration of higher frequency bands, beyond mmWaves, including the sub-terahertz (sub-THz, 100-300 GHz) and terahertz (THz, 300-10 THz) bands [8, 3, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19].

This frequency range brings exciting opportunities to many applications across scales. The very small wavelength at sub-terahertz and terahertz frequencies (from 3 mm at 100 GHz down to 30 μ𝜇\muitalic_μm at 10 THz) enables the development of miniature antennas that can be potentially embedded everywhere, from nanosensors in the Internet of Nano-Things (IoNT) to within computing processors for wireless network-on-chips (WNoCs). This is not possible at lower frequencies (e.g., sub-6 GHz and even mmWave, because of the much larger wavelengths). At the same time, the very small size of individual antennas allows for their integration in very large numbers over compact footprints. For a fixed antenna footprint (e.g., the size of current sub-6 GHz antennas on cellphones or in base stations), a sub-terahertz or terahertz antenna offers much higher directivity gains. This motivates, for example, the adoption of sub-terahertz frequencies for satellite communication networks, where the combination of high gain antennas in transmission and reception can facilitate closing the link compared to lower frequency bands. Across scales, this frequency range offers very large bandwidth (from tens to hundreds of GHz, if not more), which are only limited by hardware constraints or spectrum policy and regulations.

Much has been said about what these frequencies might and might not bring to the table, both in terms of communications and sensing (see Table I in [16] for a summary of the existing works). Still, today, five years before the expected release of 6G, one question remains: Will terahertz technology be ready?

This paper aims to answer this question by providing an updated view of two critical aspects, namely, terahertz hardware technology and channel modeling. The former determines the type and properties of the signals that can be adopted (in terms of frequency, bandwidth, and power, among others), the hardware-induced phenomena that can alter them (including amplitude and phase noises or non-linear distortion) as well as the efficiency with which these can be processed at the transmitter and the receiver. The channel models describe the phenomena affecting the propagation of such signals from the transmitter to the receiver, which drastically depends on the application scenario (from indoors to outdoors, static or mobile, other emerging applications, and new opportunities). These two aspects set the cornerstone on which the communication techniques (e.g., time, frequency and phase synchronization, modulation and coding, MIMO strategies, etc.) and the networking protocols (e.g., user discovery, beam management, mobility support, etc.) need to be developed.

The remainder of this paper is organized as follows. In Section II, we describe the envisioned use cases for terahertz communications in 6G, classified not only as a function of the targeted communication range or use case but also the need to support different levels of mobility. This highlights the diversity in applications of terahertz technology while facilitating the identification of common aspects that guide the design of terahertz networks. In Section III, we describe the evolution of terahertz hardware technology, highlighting the trends in analog front-ends, digital back-ends, and antenna systems. Compared to existing works, updated and largely quantitative data is provided concerning state-of-the-art terahertz device technologies. In Section IV, we present the key lessons learned from the extensive terahertz channel largely experimental modeling efforts in the last five years. In Section V, we discuss some of the critical aspects and opportunities relating to both hardware and channel modeling, as well as how these impact and enable the design of physical and link layer technologies. We conclude the paper in Section VI.

II Major Use Cases for Terahertz Communications and Sensing

Both the terahertz hardware design considerations and, especially, the terahertz channel modeling approaches heavily depend on the target environment and the target use case, as well as the carrier frequencies and form factors of the equipment allocated to such use. Therefore, in this section, we first present a harmonized vision of the major use cases for terahertz communications and sensing. Since supporting mobility is one of the key challenges for terahertz wireless networks, we arrange the use cases accordingly. We go from inherently stationary through low mobility all the way to highly mobile use cases, such as terrestrial wireless access, vehicular terahertz systems, and satellite-to-satellite terahertz communications. The proposed classification is also illustrated in Figure 1.

The set of attractive use cases for terahertz communications and sensing is primarily determined by: (i) potentially (but not necessarily) millimeter-scale or sub-millimeter-scale size of terahertz antenna elements (see Section III-B); and (ii) potentially (but not necessarily) large system bandwidth in the order of up to several tens and even few hundreds of gigahertz. Another essential feature of the use cases here is that a combination of large-scale antenna systems with terahertz signal frequency leads to very directional transmissions that have both advantages (e.g., less interference) and challenges to overcome (beam alignment, node discovery, accurate position location, system clock synchronization, etc.). Whenever relevant, we point to the key distinct feature of terahertz communications for a discussed use case below.

Refer to caption
Figure 1: Classification of major use cases for terahertz communications and sensing.

II-A Stationary Use Cases for Terahertz

II-A1 Wireless Networks on Chips

The rapid increase in the characteristics, capabilities, and inline, complexity of the computer chips challenges the scalability of the state-of-the-art approach to building computer platforms. Interconnecting all the essential chip components via either a shared wired bus or a set of wired busses going through the central hub becomes extremely challenging to implement. Modern central processing units (CPUs) already feature 16+ computing cores, multiple co-processors (e.g., tailored to artificial intelligence (AI) or other specific applications), and several layers of shared cache memory, while graphics processing units (GPUs) or latest systems-on-chips (SoCs) feature even more individual elements.

Hence, a novel use case arises, often referred to as WNoCs. The core idea is to replace multiple individual wired connections among small-scale elements on the chip with high-rate optical or terahertz wireless links through a shared medium [20, 21, 22]. Implementing such a system immediately raises novel challenges (design, fabrication, and control of nano-scale terahertz radio modules). At the same time, successful adoption of such an approach allows addressing the scalability problem of future chips by pushing the possible number of interconnected elements from a few hundred to many hundreds or even thousands of cores [23].

Simultaneously, WNoCs theoretically feature greater energy efficiency and a more compact design than traditional wired network-on-chips (NoCs). Both the inherently small antenna size and the large bandwidth play vital roles for this use case, enabling ultra-high-rate short-range wireless links among many miniature terahertz radios on a chip. Importantly, from the wireless networking point of view, WNoCs present one of the simplest setups to control – a fixed number of connected nodes with full control of their behavior and no node mobility.

II-A2 Board-to-Board Communications

This use case addresses the setup similar to the previous one but at a slightly larger scale. Specifically, board-to-board terahertz communications are envisioned as a possible alternative to space- and energy-consuming wired connections between the key elements in the computer, e.g., CPU to GPU, CPU to random access memory (RAM), and CPU to the networking interface. Point-to-point terahertz links can replace or complement state-of-the-art solutions, including Peripheral Component Interconnect Express (PCIe), high-rate Universal Serial Bus (USB), and other wired options. More futuristic variations suggest integrating board-to-board terahertz communications with other essential elements, e.g., by utilizing cooling pipes between the CPU and the GPU as a waveguide for the terahertz signal [24].

There are two key distinct features of board-to-board terahertz communications from the previous use case, WNoCs. First, the communication normally happens at the centimeter, not millimeter (or even sub-millimeter) scale. Second, the communication system typically considers no more than a few (usually, two) connected elements, so there is no need for sophisticated control algorithms and protocols, as in the terahertz WNoC. Similar to the previous item, both small-scale terahertz antennas and large terahertz bands are essential enablers for this use case. Notably, the size requirements are less strict than for the WNoC, making not only terahertz, but sub-terahertz, or even mmWave radios applicable.

II-A3 Data Center Links

Building terahertz-enabled high-rate wireless links in the data center is a further extrapolation of the previous use case (detailed in subsection II-A2) to an order of magnitude larger distances. Specifically, the data center use case suggests interconnecting different server racks using point-to-point wireless terahertz links instead of Ethernet or optical fiber cables. This use case may sound counter-intuitive to some, as the data center is a perfect example of stationary deployment, where wired connections have an advantage. However, the envisioned replacement of rack-to-rack wired links with high-rate and low-latency wireless analog relaxes multiple restrictions essential for the data center layout (e.g., placement of racks in the room) and even cooling system design [25, 26, 27].

Terahertz wireless links for data centers are also one of the key motivating use cases for the recently published IEEE standard for sub-terahertz connectivity, IEEE 802.15.3d–2017, and its upcoming revision just approved in 2023 [28]. The wide bandwidth is the essential property of terahertz communications, while the scale already allows for larger and relatively simple horn or lens-type terahertz antennas (see Section III-B).

II-A4 Fronthaul/Backhaul

The last but definitely not least stationary use cases for terahertz communications, are wireless fronthaul or wireless backhaul for 5G-Advanced and, especially, upcoming 6G cellular networks [3]. By design, multiplexing several (tens) of high-rate mobile access links (e.g., mmWave) into a single wireless link requires an order of magnitude greater bandwidth than only the terahertz band or optical systems can offer. Meanwhile, sub-terahertz and terahertz links are relatively robust to adverse weather conditions [29, 30, 31] which gives them a notable advantage from the reliability point of view.

Same as for the data centers above, the large bandwidth of the terahertz signal is the essential feature for the required high data rates, while the use of large-scale antenna systems on both sides addresses the spreading loss issue. Tentatively, wireless fronthaul/backhaul data links are likely one of the first use cases for terahertz communications to be adopted in 6G-grade networks.

II-B Low Speed Mobility

II-B5 Nano Sensor Networks

One of the first use cases originally considered for wireless communications in the terahertz band is related to data exchange between micro- and nano-scale machines [32, 33]. These envisioned interconnected small-scale robots are to perform various tasks ranging from environmental sensing up to in-body medical invasions. The proposal partially stems from an earlier concept of the Internet of Things (IoT) pushing it further to the so-called IoNT [34].

The miniature (millimeter-scale or sub-millimeter-scale) size of terahertz antennas and attracted terahertz transceivers is the key inherent property of terahertz communications making it not only suitable for this use case, but even further, making it one of only a few possible communication methods at this scale (together with e.g., optical wireless systems, molecular communications, and bacterial nanonetworks) [35].

II-B6 Imaging

Historically, terahertz imaging was one of the first-ever use cases for terahertz wireless systems. Specifically, terahertz radiation is used to identify materials composing the scanned object, as different materials show different electromagnetic signatures (e.g., frequency-dependent transmissivity or reflexivity) when illuminated by the terahertz signal. Introduced and implemented several decades ago (e.g., terahertz communication systems are still in the prototype stage today), terahertz imaging facilitates efficient and reliable scanning in many areas. These include, among others, luggage and postal mail screening, 2D and 3D biological and chemical examination [36, 37].

Importantly, terahertz radiation is non-ionizing – not enough energy carrier per quantum to remove an electron from the atom or molecule. Therefore, it is notably safer in practical scenarios (not fully safe though [38]), especially when it comes to medical scanning. Two major distinct properties of the terahertz band play vital roles for this use case: (i) large bands available (hence, facilitating the scanner resolution) and (ii) the fact that many common materials have notably different properties in reflecting, diffracting, and passing over the terahertz signal. Last but not least, the terahertz signal better penetrates certain obstacles (e.g., human skin) than optical signals, making it a promising option when it comes to multi-later 3D scanning.

II-B7 Kiosk Download / Data Shower

Another low-mobility use case for terahertz communications is typically referred to as one of the three similar terms: (i) kiosk download; (ii) data shower; and (iii) information shower [39, 40]. While the exact definitions of these vary from source to source, the general idea is almost the same. A kiosk (or a data/information shower) is an ultra-small (a few meters in coverage or less) and, importantly, an ultra-high-rate wireless cell that allows quasi-instant downloads/uploads of huge chunks of data (data rates of tens/hundreds of gigabits to a few terabits per second).

The core idea of this proposal is to complement the existing coverage-centric wireless networks (microwave or even recent mmWave ones) with strategically-placed high-rate terahertz data showers, thus allowing the users to exchange large amounts of cached data when in their range. Such locations may be the entrance of the metro station or a large shopping mall, an airport corridor, a busy intersection, or any other place with a high volume of humans passing per minute. While these few short-range showers are not able to completely replace existing networks, they can assist them notably by offloading a significant portion of heavy traffic [41]. Here, the large bandwidth of the terahertz signal is the main properly facilitating high-rate data exchange but simultaneously limiting the coverage of the terahertz data shower.

II-B8 Last Meter Interconnect

The last-meter terahertz connection is a variation of the terahertz kiosk for office or on-body environments. This use case suggests applying terahertz connectivity as the last hop between a distant remote server and the user terminal. Specifically, a single one-meter range terahertz cell (backed to the office wired network) can replace several Ethernet wired connections in a typical office desk or cubicle, e.g., desktop, laptop, high-resolution display, among others [42].

A more futuristic version of this use case describes a set of wearable (or eventually implantable) devices interconnected with terahertz wireless links, thus featuring extremely high rates and ultra-low latencies [34]. These formed wireless personal area networks (WPANs) will include but are not limited to smart glasses (e.g., eXtended Reality (XR) [43]), on-body or in-body sensors, and even (eventually) Internet-to-brain and brain-to-Internet interfaces [44]. Similar to the previous use case, the high rates and low latencies are enabled primarily by wide terahertz bands, while the same wideband nature of transmissions limits the coverage of these envisioned terahertz WPANs.

II-C Medium Speed Mobility

II-C9 Femto Cells

Terahertz-enabled ultra-high-rate femtocells and/or terahertz wireless local area networks (WLANs) present a decisive use case for mobile terahertz communications. Specifically, an evolution of state-of-the-art IEEE 802.11ad/ay WLANs operating at 60 GHz is envisioned at the next Wi-Fi development cycle within 6G or 6G-Advanced timeline. In parallel, the design of cellular-controlled indoor sub-terahertz/terahertz cells is of interest to further boost the performance of cellular wireless networks indoors [3, 16].

This setup presents one of the most challenging use cases for terahertz communications to implement, as both the complex wireless channel with a lot of potential obstacles (furniture pieces, human bodies, walls, etc.) and unpredictable mobility of the user terminals (e.g., smartphones or XR glasses) must be overcome. Importantly, not only canonical macro-scale mobility (large-scale movements of the user terminal) should be accounted for but also micro-scale (or so-called small-scale) mobility comprising unpredictable shifts and rotations of the device itself. It has been shown that such rotations often lead to unexpected misalignment of the narrow terahertz beams, thus compromising network reliability and performance. Same as above, wideband terahertz signals enable high-rate data exchange, while large-scale (thus directional) terahertz antenna systems are needed to maintain the desired coverage of several (tens of) meters.

II-C10 Micro Cells

This use case presents a futuristic extension of the previous one, suggesting true terahertz radio to be used for cellular access links (50 m to 200 m coverage). While it has been experimentally shown that wideband terahertz signals can be reliably received from a large distance of up to several kilometers [45], maintaining the 100 m+ coverage for mobile terahertz access links is a challenge, as such distances will inherently demand even narrower terahertz beams. Consequently, all the supporting control algorithms and protocols must be capable of operating over narrow (less than a few degrees) terahertz beams. While there are several promising solutions presented in this area recently [46, 47, 48, 49], the set of unsolved research problems to address remains large. These include among others, reliable, efficient, and low-overhead beam tracking algorithms, fast node discovery protocols, novel interference management techniques, and intelligent time-frequency-space resource allocation solutions. Still, a theoretical possibility to leverage an order of magnitude larger bands than those available at mmWave frequencies makes this use case tempting to continue research and engineering activities.

II-C11 Automotive Radars

Utilizing terahertz signals for automotive (e.g., vehicle-mounted) radars is the second sensing-centric use case in our list. In contrast to terahertz imaging discussed above, automotive radars primarily target revealing the relative distance to the target and the relative velocity of the target, not the target’s composition [50]. Modern vehicle manufacturers already integrate up to a dozen sensors into their latest models, from ultrasonic parking sensors through mmWave/sub-terahertz cruise radars to optical cameras to monitor the surroundings. Selected prototypes of autonomous driving vehicles get equipped with Light Detection and Ranging (LIDAR) solutions, which are effectively “light-based laser radars”.

While existing mmWave and sub-terahertz cruise radars already enable decisive applications, such as adaptive cruise control and semi-autonomous driving, further development of these systems using wider true terahertz signals will notably contribute to their angular and distance resolution [51]. Pulse-based radars and frequency-modulated continuous wave (FMCW) radars are two widely spread approaches to estimating the distance and velocity of the target [52]. The first approach primarily relies on the round trip time of the reflected/scattered radar signal to estimate the distance, while the Doppler shift in the signal frequency will reveal the relative velocity. FMCW-type radars achieve a similar goal by comparing the received signal with its original shape in time and frequency domains. Here, both the narrow terahertz beam and the wide bandwidth of the terahertz radar signal naturally contribute to the performance of the terahertz-enabled automotive radars.

II-C12 Vehicular Communications

In parallel to improving automotive radars, the terahertz community is currently exploring the possibility of partially reusing selected elements (e.g., terahertz antenna arrays) for terahertz vehicle-to-everything (V2X) communications. While one may argue that there is typically not enough traffic generated by a single vehicle to deploy a high-rate terahertz link, this is not always true. First, there are use cases, where a single terahertz vehicle-to-infrastructure connection may relay several active mobile links between user devices in the car (smartphones, tablets, XR glasses, etc.) and in-vehicle entertainment systems [53]. Hence, instead of serving several mobile links, the network only has to serve one, while the vehicle-mounted access point serves the rest. This usage scenario is especially practical for public transport, where e.g., the entire bus or the entire tram/train coach can be multiplexed into a single terahertz access link [54].

Another decisive application motivating terahertz V2X is autonomous collective driving. Latest studies show that cooperation among the vehicles is one of the factors both: (i) simplifying control of the vehicle swarms; and (ii) improving the system performance. For instance, a group of connected vehicles can drive at high speeds on a highway with very low distance between each other, thus increasing the road capacity. For this scenario, wideband terahertz signals enable high rates and low latencies, while using steerable directional beams facilitates little to no interference with other terahertz-capable cars, pedestrian users, and infrastructure nearby. Notably, connected smart vehicles are also one of the promising scenarios for joint terahertz communications and sensing, where the same hardware components are used for both radar sensing and data exchange [55].

II-D High Speed Mobility

II-D13 Connectivity for Airborne Nodes

The list of use cases with high mobility of nodes starts with exploiting terahertz communications for airborne nodes. These include both human-operated airplanes and unmanned aerial vehicles (UAVs) [56]. Within the first group, one of the primary targets is to improve connectivity to passenger airplanes. A commercial passenger airplane is a very expensive device whose cost may easily exceed one hundred million US dollars. A one-way ticket for a transatlantic flight is over several hundred US dollars for economy and up to several tens of thousands for business and first class.

Despite these costs, a flying airplane is currently one of the world’s worst connected places, with no more than a couple of megabits per second available per passenger over existing Ku (12-18 GHz), K (18-27 GHz) and Ka (26.5-40 GHz) bands (if all the passengers get connected). Improving this situation with mobile terahertz communication links between an airplane and a low-Earth orbit (LEO) satellite or between an airplane and the ground network (either directly or through another airplane) is a decisive practical usage scenario. Another vector of interest comes from primarily military-type use cases – connecting two or more airplanes, drones, or other flying objects (e.g., missiles) into a single low-latency terahertz network. While wideband terahertz signals are good for bandwidth-hungry data exchange, highly directional terahertz transmissions also facilitate covert and secure airborne communications.

II-D14 Satellite Remote Sensing

Remote sensing is historically one of the first groups of use cases associated with terahertz radio systems that debuted decades ago. Until now, the US National Aeronautics and Space Administration (NASA) has been the creator of some of the highest power terahertz front-ends [57] Today, there are dozens of satellite-based terahertz sensors deployed already and more currently in development due to several major reasons [58]. First of all, as discussed above in relation to terahertz imaging, the band is very useful for multi-layer imaging of different objects. A combination of these unique properties with the wide band of the terahertz signal and low interference with Sun radiation make terahertz waves good candidates for remote sensing of planets. Further, as water is one of the key absorbers at terahertz frequencies, there are many satellite-based terahertz sensors deployed around the Earth used for environmental sensing, including weather forecasts.

Refer to caption
Figure 2: Power amplifier survey. Saturated output power vs frequency for different electronic front-end technologies [59].

II-D15 Low Earth Orbit Satellite Communications

Stemming from the previous two, terahertz band communications present an attractive alternative to mmWave and optical systems when it comes to satellite communications (especially, building large-scale mega constellations at the LEO). On one side, the terahertz band features larger bands than those currently used in the K band or around for satellite-to-airplane and satellite-to-ground connectivity [60, 61]. On the other, relatively wider terahertz beams demand less precision from the beam-pointing mechanism than laser-based inter-satellite cross-links [62]. Importantly, terahertz signals are also less affected by the Sun radiation and atmospheric turbulence than optical signals [63].

Last but not least, while mmWave bands may be too narrow for cross-links and optical links may be not powerful enough to facilitate Earth-to-satellite connectivity, the terahertz band may serve as a sweet spot in the middle [62, 64]. Prospective LEO satellites may be equipped with a single terahertz radio module for cross-links, access links, and environmental sensing (e.g., using joint communications and sensing) instead of three separate radio modules (optical, mmWave, and terahertz), all operating at different bands and consisting of different radios. Hence, the stringent space and weight restrictions for spacecrafts become less crucial with the use of terahertz wireless systems.

III Evolution of Terahertz Hardware Technology

The terahertz band was called the terahertz gap for decades because of the lack of device technologies to support communication and sensing applications at these frequencies. However, the situation is much different today. As we summarize in the next sections, there are multiple solutions to realize the critical hardware building blocks of a terahertz wireless system. These include the analog front-ends, the antenna systems, and the digital signal processing (DSP) back-ends. Importantly, while many times developed independently by the communications and the sensing communities, these hardware blocks are fundamentally the same, and their joint design can only benefit communications, sensing, and joint communication and sensing applications.

III-A Analog Front-ends

The analog front-end generates, modulates, filters, and amplifies signals at terahertz frequencies with multi-gigahertz bandwidths. The key performance metrics of a front-end include the frequency bands of operation, modulation bandwidth, transmission power, receiver sensitivity, amplitude and phase noise, and power efficiency.

There are three approaches to building terahertz front-ends.

III-A1 Electronic Approach

This approach pushes the limits of the devices and designs used in microwave and millimeter-wave frequency systems toward the terahertz-band frequencies. The general challenges for terahertz high-performance solid-state front-ends are mostly caused by the limited performance of electronic devices at the terahertz frequency spectrum, including limited device power gain, output power, energy efficiency, noise figure, and the circuit footprint. Moreover, there are considerable challenges in accurately measuring the characteristics of the fabricated electronic terahertz front-ends with micron and sub-micron device areas and contact geometries. Considering the device parasitics, signal transitions, and power limitations in terahertz circuits, detailed calibration and measurement techniques become paramount to ensure the desired performance of terahertz devices [65]. In such direction, new capabilities such as the multi-user terahertz measurement facility at NYU provides no-cost open access to test and measurement hardware and capabilities for collaborating researchers across the US [66].

Silicon Technology

Currently, most commercially available complementary metal–oxide–semiconductor (CMOS) technologies offer device unit-gain at frequencies fmaxsubscript𝑓𝑚𝑎𝑥f_{max}italic_f start_POSTSUBSCRIPT italic_m italic_a italic_x end_POSTSUBSCRIPT around 300-350 GHz, which is subject to further degradation due to layout parasitics. Considering a perfectly neutralized differential device pair, the resulting device unilateral power gain (U𝑈Uitalic_U) is inversely proportional to the square of the operating frequency, and its unit-gain frequency fmaxsubscript𝑓𝑚𝑎𝑥f_{max}italic_f start_POSTSUBSCRIPT italic_m italic_a italic_x end_POSTSUBSCRIPT stays almost the same as that of the native device [67]. Therefore, most reported CMOS amplifiers operate at 150 GHz or below, with a theoretically limited device gain of 6 dB per stage before accounting for any passive matching network losses. Although there are reported techniques to boost the device gain beyond U𝑈Uitalic_U [68, 69, 70, 71], tradeoffs with device stability and bandwidth typically need to be made.

While the CMOS device power gain is one root cause for its limited terahertz performance, the device Johnson limit [72] also predicts the diminishing output power capability of CMOS devices at terahertz frequencies, which matches well with reported data based on Eidgenössische Technische Hochschule (ETH) power amplifiers (PAs) Survey [59] (Figure 2). Further, the limited device gain and output power capability require more amplifier stages in cascade and in power combining, both degrading the energy efficiency (Figure 3). In addition, the low device power gain directly compromises the achievable noise figure, while using more amplifier stages to achieve the target gain results in large front-end transceiver circuit footprints that exceed the standard λ/2𝜆2\lambda/2italic_λ / 2 antenna array grid size beyond 150 GHz (Figure 4[73].

Recently reported D-band CMOS/CMOS silicon-on-insulator (SOI) PAs mostly adopt class-A biasing to maximize the device gain at the expense of energy efficiency [74, 70, 75]. They achieve saturated output power (Psatsubscript𝑃𝑠𝑎𝑡P_{sat}italic_P start_POSTSUBSCRIPT italic_s italic_a italic_t end_POSTSUBSCRIPT) of +18 dBm, 1 dB-gain-compression output power (OP1dB𝑂subscript𝑃1𝑑𝐵OP_{1dB}italic_O italic_P start_POSTSUBSCRIPT 1 italic_d italic_B end_POSTSUBSCRIPT) of +14 dBm, and peak power added efficiency (PAE) of 10-14%. However, the modulation energy efficiency is typically below 2%. Recent D-band CMOS/CMOS SOI low noise amplifiers (LNAs) report noise figure (NF) of 4.7 dB to 6 dB and often employ device gain boosting techniques [76, 77, 78, 79].

In addition, for frequency generation for D-band wireless systems, the popular approach is to generate the local oscillator (LO) signal first at lower mmWave frequency and then multiply the LO frequency up to the D-band by frequency multipliers [80, 81, 82, 83, 84], which exhibits a balanced trade-off of phase noise, frequency tuning range, and power consumption. At the system level, multiple D-band transmitter (TX) and receiver (RX) designs have been reported with typical 10-14% carrier bandwidth to support high-speed modulations [85, 86, 87, 88, 89, 84, 90, 91, 92, 80]. While the single-channel TX/RX performance is fundamentally governed by the PA/LNA performance, realizing two-dimensional (2D) scalable arrays for practical mobile wireless applications (e.g., TX/RX co-channel or dual-polarization) will entail other challenges on circuit footprint and thermal density. They will require other techniques, such as heterogeneous integration.

To operate close to or beyond device fmaxsubscript𝑓𝑚𝑎𝑥f_{max}italic_f start_POSTSUBSCRIPT italic_m italic_a italic_x end_POSTSUBSCRIPT, one should resort to device nonlinearity and harmonic generation, leading to even poorer output power capability and energy efficiency. For example, CMOS circuits are used to build systems at frequencies approaching 300 GHz, but with transmit power in the order of only 1 mW, capable only for short-range communication and sensing [93]. Another option to increase the performance of silicon-based devices, such as the CMOS devices described this far, is to explore the integration of silicon with other materials in new structures, such as with advanced Silicon Germanium (SiGe) heterojunction bipolar transistorss (HBTs) from Globalfoundries, STMicroelectronicsics, and IHP. Such devices can achieve fmaxsubscript𝑓𝑚𝑎𝑥f_{max}italic_f start_POSTSUBSCRIPT italic_m italic_a italic_x end_POSTSUBSCRIPT over 500 GHz and are less sensitive to layout parasitics. However, similar design tradeoffs still exist, showing the limitation of silicon-based devices and the need for III-V compound technologies and heterogeneous integration. On the other hand, silicon-based technologies offer unparalleled integration density, signal processing, and controls/reconfigurations, which are essential for terahertz front-ends and systems.

Refer to caption
Figure 3: Energy efficiency of CMOS and SiGe ICs.
Refer to caption
Figure 4: Chip element area for different circuits/system types.
III-V Semiconductor Technologies

Although silicon-based circuits have shown high-frequency operation with medium level power from power-combined devices, for watt-level power, III-V semiconductors are needed. To increase the power output to tens of milliwatts, amplifiers based on III-V semiconductor materials can be utilized [94].

high-electron mobility transistorss (HEMTs) using indium gallium arsenide (InGaAs) developed in the 1980s [95] allowed a path for mmWave solid-state amplifiers and other components at W-band (75-110 GHz). The power of an individual solid-state device at high frequencies is limited, and traditional binary corporate combining is dominated by loss before substantial power levels can be reached. Spatial power combining techniques introduced using gallium arsenide (GaAs) technology include, e.g., a 272-element lens array using the same number of GaAs mmWave IC s (MMICs) with a total output power of 36 W at V band (40-75 GHz) [96]. With research over the past few decades bringing wide band-gap semiconductors to the stage, gallium nitride (GaN) has been improving high-frequency performance with operating frequencies in the hundreds of gigahertz [97, 98]. Although vacuum tubes have demonstrated several hundreds of kW at W-band, e.g., [99], they are narrowband and require large power supplies and magnets. The wide bandgap of GaN and high associated operating voltages make it the semiconductor of choice for high-power solid-state transmitters. The silicon carbide (SiC) substrate additionally offers good thermal properties compared to GaAs and silicon. A number of recent millimeter-wave GaN processes with gate lengths in the 20–90 nm range have shown high performance across V and W bands [100, 101, 102, 103].

Various GaN processes on SiC substrates currently achieve cutoff frequencies above 200 GHz. For example, an fTsubscript𝑓𝑇f_{T}italic_f start_POSTSUBSCRIPT italic_T end_POSTSUBSCRIPT up to 275 GHz is shown in a 40-nm GaN on SiC HEMT process [101]. Power densities as high as 3 W/mm are shown at W-band in GaN on SiC [101]. Device efficiency is also increasing at W band, with 45% PAE reported for a 3 W/mm high power device at 94 GHz, while the same device can reach 56% PAE with an output power density of 780 mW/mm [101]. The highest published GaN W-band transmitter, intended for an active denial weapon, produces around 6.8 kW [104] by spatially combining over 8,000 GaN-on-SiC MMIC PAs, each with over 1 W of power and PAE >20%absentpercent20>20\%> 20 % around 93 GHz. This approach is modular and therefore scalable.

Advanced GaN processes also achieve minimum noise figures below 2 dB at W band [100, 101], and about 7.6 dB above 100 GHz [105]. The ability to design with multiple gate lengths allows for increased complexity, such as low noise/high gain stages followed by high linearity stages in a single LNA [106]. A comprehensive review of GaN MMICs up to the 110-GHz range is given in [107]. Despite the impressive results in GaN, the lowest noise figures and highest frequencies of operation are obtained in indium phosphide (InP), e.g., [108, 109, 94, 110].

GaN MMICs with various functionality through W band have been demonstrated. This includes switches with high IP3 (over 30 dBm) and isolation (over 40 dBm) [111], continuous 90 and 10 phase shifters from 50–110 GHz [112], active frequency doubling and tripling with conversion gain [113], active circulators [114] and a 50–110 GHz amplifier-isolator with 60 dB isolation [115].

Refer to caption
Figure 5: Schottky-diode-based frequency multipliers survey. Output power vs frequency for achieved by different groups, including the NASA JPL, Virginia Diodes Inc (VDI), ACST. LERMA at the Observatoire de Paris/C2N, and Chalmers University.

III-V semiconductor materials can also be utilized to build different types of diodes with applications in terahertz signal generation and detection, including Schottky diodes, resonant tunneling diodess (RTDs), and impact ionization avalanche transit times (IMPATTs). For example, GaAs-based Schottky diodes are commonly used as frequency multipliers and mixers in frequency up- and down-converting chains [116]. For example, in [57], frequency-multiplied terahertz sources providing up to ten times more output power at room temperature than the previous state of the art are prototyped at 180 GHz, 240 GHz, 340 GHz, 530 GHz, 1 THz, and 1.6 THz. Using such technology, a frequency tripler with 200 mW of output power at 225 GHz is built and utilized to establish a 2-km-long link carrying multi-gigabits-per-second (Gbps) in [45]. A survey of the most recent works demonstrated based on this technology is shown in Figure 5.

III-A2 Photonic Approach

In this approach, the limits of devices and architectures used in optical communication systems are pushed down in frequency towards the terahertz band. The devices in this category are very diverse, ranging from electrically pumped to laser pumped, pulsed-wave to continuous-wave, and many even include electronic and/or plasmonic components. While offering faster, lower phase noise, and high Q-factor, this approach has a few disadvantages such as lower power, difficulty in implementation, cryogenic temperature operation, bulky, low efficiency, minimal tunability, and often only emits short pulses.

An example of an electrically-pumped optoelectronic device is the quantum cascade laser (QCL) [117, 118, 119], a type of semiconductor laser with emissions through intersubband transitions in a repeated stack of alternating semiconductors, forming multiple quantum well heterostructures. Laser-pumped devices [120] include difference-frequency generations (DFGs) [121], optical rectification, optical parametric oscillators, and photoconductive antennas (PCAs) [122]. The DFG achieves optical heterodyne signal generation with a beam combiner of two optical lasers of different frequencies, ω1subscript𝜔1\omega_{1}italic_ω start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT and ω2subscript𝜔2\omega_{2}italic_ω start_POSTSUBSCRIPT 2 end_POSTSUBSCRIPT, followed by a photomixer to output a single laser beam of frequency ω2ω1subscript𝜔2subscript𝜔1\omega_{2}-\omega_{1}italic_ω start_POSTSUBSCRIPT 2 end_POSTSUBSCRIPT - italic_ω start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT, in this case in the terahertz range.

PCAs [123] use laser pulses instead of continuous-wave lasers, which are incident on a highly resistive direct semiconductor thin film with two electric contact pads. The incident laser has higher photon energy than the semiconductor energy gap and is absorbed in the film, creating short-lasting electron-hole pairs until recombination.

Refer to caption
Figure 6: Generation of a Bessel beam through a) an ideal lens, b) a conventional antenna array with λ/2𝜆2\lambda/2italic_λ / 2 element size and spacing, and c) a plasmonic antenna array with λsppsubscript𝜆𝑠𝑝𝑝\lambda_{spp}italic_λ start_POSTSUBSCRIPT italic_s italic_p italic_p end_POSTSUBSCRIPT===λ/20𝜆20\lambda/20italic_λ / 20 element size and spacing. Note that at 300 GHz, λ=𝜆absent\lambda=italic_λ =1 mm, and, thus, the theoretical footprint of these structures is only 5 cm.

III-A3 Plasmonic Approach

This approach aims to leverage the properties of plasma waves and surface plasmon polariton (SPP) waves to build devices that intrinsically operate at terahertz frequencies. Among others, plasma waves –or oscillations of electrical charges in a material– at terahertz frequencies can be excited in an asymmetric plasmonic cavity formed in the two-dimensional electron gas (2DEG) channel of a HEMT, due to the so-called Dyakonov-Shur instability [124]. Such a transistor can be built with III-V semiconductor materials [125, 126, 127, 128, 129] and/or with two-dimensional materials such as graphene [130, 131].

Besides signal generation, the same structure can provide high-speed modulation of the generated plasma wave in frequency and amplitude [132]. Amplitude modulation is achieved by varying the DC bias current passing through the transistor channel. Alternatively, frequency modulation can be achieved by varying the gate voltage. In other words, this device can act as a direct amplitude or frequency up-converter from baseband or an intermediate frequency (IF) to terahertz frequencies. Moreover, direct phase modulation can be achieved by means of a tunable plasmonic waveguide built again with graphene [133]. In this setup, by varying the bias voltage of the plasmonic waveguide, the speed of the SPP wave can be changed, and accordingly, the phase at the output of the waveguide can be modulated. In addition to other on-chip graphene-based modulators [134], off-chip modulating structures based on graphene and other two-dimensional materials have been proposed [135]. These structures interact with the radiated signals and should not be confused with on-chip structures integrated with the transmitter that interact with the signal before being radiated. In this sense, they are closer to the antenna systems described in the next section.

In broad terms, the size of plasmonic devices is proportional to the plasmonic wavelength, which is generally much smaller than the free-space wavelength of a signal at the same frequency. The parameter that measures the ratio between the free-space and plasmonic wavelengths is the plasmonic confinement factor, and can easily be between 10 and 100 in graphene [136]. As a result, the generated power of graphene-based plasmonic devices is generally very low (approaching 1 μ𝜇\muitalic_μW). However, their very small footprint supports both their embedding in nanomachines to enable the nanoscale applications of the terahertz band and their integration in larger numbers to build highly functional on-chip arrays [137]. Compared to the electronic and photonic approaches, the plasmonic approach has been much less explored, resulting in a high-risk, high-reward opportunity [138].

III-B Antenna Systems

As in any wireless communication system, antennas are needed to convert on-chip signals into free-space propagating electromagnetic waves at the transmitter and perform the reciprocal function at the receiver. Moreover, antenna systems can also be found along the channel, acting as surfaces that can manipulate electromagnetic radiation in different ways (e.g., as fixed or programmable reflectors, focusing transmission lenses, and polarization filters, to name a few), as we further elaborate in Sec. V. The key performance metrics of an antenna system include radiation efficiency, directivity gain, and beamwidth.

Fundamentally, classical antenna theory remains valid at terahertz frequencies, but there are some caveats. First, the very small wavelength of terahertz radiation leads to tiny terahertz antennas. For example, a resonant dipole antenna at 1 THz is approximately 150 μ𝜇\muitalic_μm and has the conventional doughnut-shaped radiation diagram. Correspondingly, in reception, the tiny size of the dipole results in a very small effective area or aperture, leading to very high spreading losses (more in Sec. IV). Making the antenna larger to increase its effective area automatically leads to a more directional radiation pattern. This is why directional antennas are commonly used at terahertz frequencies. For example, horn antennas or even small dish antennas with gains ranging from 20 dBi to 55 dBi are commercially available today.

Another way to achieve high gain is by building antenna arrays. Antenna arrays offer the advantage of being able to program the radiation diagram by controlling at least the phase, if not also the amplitude, at every antenna. The very small size of individual terahertz antennas allows their dense integration in very small footprints. Besides the radiating elements, an array needs to integrate at least the control elements (e.g., phase shifters/time delays and amplitude controllers). Moreover, suppose the antenna needs to support multiple input multiple output (MIMO) communications. In that case, it will require numerous front-ends, up to one per antenna, but usually one per sub-group of antennas [139]. Currently, designs with up to 16  streams, each feeding either one or a small group of antennas, have been demonstrated when following an electronic approach [140].

In addition to antennas, lenses can be utilized to control the radiated terahertz signals. Lenses can be used to focus the signal at a distance or to generate different types of wavefronts, such as non-diffracting Bessel-beams [141, 142] and self-accelerating Airy beams [143]. Moreover, besides dielectric lenses, these functionalities can also be implemented using metasurfaces. Metasurfaces are arrays of meta-atoms or custom-designed electromagnetic elements whose size is much smaller than the wavelength [144]. As with antenna arrays, programmable metasurfaces outperform fixed dielectric lenses as they can be tuned to implement different functionalities by inputting specific magnitude and phase distributions.

Refer to caption
Figure 7: A multi-channel DSP engine. A large bandwidth is digitally processed by splitting it into four broadband channels, and each channel can be processed in real-time through a multi-phase parallel design.

III-B1 A Note on Plasmonic Structures

When adopting the plasmonic approach to build analog front-ends, plasmonic nano-antennas are needed [145, 146, 147, 148]. The main advantage of plasmonic nano-antennas is that they are significantly smaller than the wavelength. While this leads to a smaller effective area, it also opens the door to their very dense integration [149, 150, 151]. Moreover, due to the small size of the plasmonic front-end itself, arrays of integrated plasmonic front-ends and plasmonic nano-antennas can be made [137]. The fact their size is smaller than the wavelength and that each array element provides its own power and independent phase and amplitude control results in the possibility of performing not only beamforming as with metallic antenna arrays but also wavefront engineering as with programmable metasurfaces. All these structures can be designed to operate in transmission, reception, or reflection [152].

III-B2 The Role of Reflecting Surfaces

As mentioned at the beginning of this section, in addition to the transmitter or the receiver, electromagnetic structures, including antennas, arrays, lenses, and metasurfaces, can be placed along the channel to enhance the propagation of terahertz signals. Particularly, intelligent reflecting surfaces (IRS) and reconfigurable intelligent surfaces (RIS) have become extremely popular across all frequencies [153, 154, 155]. At lower frequencies, IRS can increase the spectral efficiency of existing networks [156, 157, 158, 159]. As we move towards sub-terahertz and terahertz frequencies, IRS become a critical technology to increase coverage and, among others, overcome the impact of blockage by engineering non-line-of-sight (NLoS) paths around obstacles [160, 161, 162]. Focusing on the hardware aspects, IRS at terahertz frequencies are usually based on programmable reflect-arrays or programmable metasurfaces [163]. At lower frequencies, PIN diodes or varactors are utilized to change the reflection phase of each reflectarray or metasurface element. However, the design and fabrication of such devices are challenging at terahertz frequencies. In [164], a GaN-based reconfigurable metasurface has been designed and experimentally demonstrated. The metasurface implements an array-of-sub-arrays architecture with sub-wavelength spacing and 1-bit control per sub-array, and supports wavefront engineering at 0.34 THz. Alternatively, the use of graphene as the tunable element in terahertz IRS has been theoretically proposed [165, 166]. Lastly, non-reconfigurable reflecting surfaces with minimal complexity have been recently demonstrated [167, 168].

III-B3 A Discussion on the Near Field of Large Radiating Structures

At this point, it is relevant to remember that the far field of a radiating structure depends on the antenna size as 2D2/λ2superscript𝐷2𝜆2D^{2}/\lambda2 italic_D start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT / italic_λ, where D𝐷Ditalic_D is the largest antenna dimension [169]. Accordingly, for example, the far field of a 20-cm antenna array at 120 GHz starts at 32 m. The far field of the same antenna size at 1.05 THz (i.e., the center frequency of the first absorption-defined transmission window above 1 THz) does not start until 280 m. If, instead, a much larger antenna structure, such as a 2 m dish or surface, is used, the far field of the same communication and sensing system at 120 GHz and 1.05 THz is 3.2 km and 28 km, respectively. As a result, in many applications, terahertz communications and sensing will happen within the near-field of the antenna. Unfortunately, traditional beam management strategies, which imply a plane wave assumption with a uniform phase and where the spreading effect results in a Gaussian intensity [169], including those proposed for terahertz systems, are inaccurate [170].

III-C Digital Back-ends

The main motivation to move to terahertz frequencies is its enormous bandwidth. Accordingly, a DSP engine able to exploit such bandwidth is needed. The key performance metrics include the sampling frequency and the sampling resolution.

Common to optical (wired and wireless) systems, a major bottleneck in the DSP engine is posed by the digital-to-analog converters (DACs) and the analog-to-digital converters (ADCs). As of today, data converters with sampling frequencies of up to 256 Gigasamples-per-second (GSaps) can be found in commercial laboratory-grade equipment (e.g., Keysight M8199B). As per the Nyquist sampling theorem, such data converters could operate with signals with analog bandwidths of up to B=fs/2𝐵subscript𝑓𝑠2B=f_{s}/2italic_B = italic_f start_POSTSUBSCRIPT italic_s end_POSTSUBSCRIPT / 2, i.e., ideally close to 128 GHz. Practically, this is less than that (e.g., 80 GHz for the aforementioned device). While this is remarkable, the size, cost, and thermal requirements of such data converters limit their application to very specific setups, far from what a handheld device could afford. In addition, such very high sampling frequencies come at the cost of lower resolutions (e.g., 8 bits). A lower resolution leads to a higher error vector magnitude (EVM) right from the start, i.e., at the transmitter, and thus, impacts the performance of high-order modulations.

Alternatively, highly parallelized DSP engines are being developed. In particular, separate sub-channels can be independently processed by much slower data converters and (orthogonally) multiplexed in frequency (see Figure 7[171]. Following this approach, we have recently demonstrated what, as of today, is the fastest software-defined radio (SDR) platform for wireless communications, able to process in real-time 8 GHz of bandwidth by multiplexing four 2-GHz-wide channels in frequency [172]. More specifically, this platform leverages the state of the art in Radio Frequency (RF) system-on-chip (SoC) (RFSoC) and multi-phase processing strategies to generate four parallel IQ streams with baseband bandwidth of 1.25 GHz, each; and an IF multiplexing/demultiplexing custom board to generate/split a single ultra-broadband signal with 8 GHz of bandwidth. Multi-phase processing strategies are needed to match the difference in speed between the field-programmable gate array (FPGA) clock (e.g., up to 512 MHz in the most optimistic case) and the speed of the data-converters on the RFSoC (e.g., a few gigasamples-per-second (GSps)).

Table I: Summary of the State of the Art in THz Front-ends.
Property Technology Pathways
Electronic Photonic Plasmonic
Frequency Range Easily < 1 THz, potentially up go 10 THz Easily > a few THz, potentially < 1 THz 1 THz and up
Bandwidth Up to tens of GHz > 10 GHz > 10 GHz
Transmit Power 100s of mWs < 300 GHz, few mWs at 1 THz <10 mW <1 mW𝑊Witalic_W
Amplitude and Phase Noise High Low Unknown
Technology Maturity High Medium Low

III-D Optimizing Power Waste for Green Communications

Green information and communications technology (ICT) has become an important topic for sustainable development as energy demand for ICT soars, and the impacts of anthropogenic climate change become unavoidable [173]. ICT is estimated to consume over one-fifth of the global electricity supply by 2030, equivalent to 8000 TWh/year [174]. While limiting the growth of ICT is impractical, improving efficiency and reducing power waste of communications devices certainly alleviates the energy burden. As 6G networks are estimated to serve millions of connected devices with smaller cell sizes, potentially leveraging the terahertz and sub-terahertz spectrum, a metric to quantify the power waste of individual devices, cascaded communication systems, and networks is urgent. The power waste factor, W, denotes the amount of wasted power for devices, systems, or networks [175, 176]. W𝑊Witalic_W can be used by circuit and system designers to make informed decisions about design choices for devices based on the amount of power wasted. W𝑊Witalic_W provides an intuitive mathematical framework for power waste that closely resembles Harald Friis’ noise figure [177].

In particular, the power waste factor, W𝑊Witalic_W, for any device or linear system (including any active or passive device or channel) is defined as

W=Pconsumed,pathPsignal𝑊subscript𝑃𝑐𝑜𝑛𝑠𝑢𝑚𝑒𝑑𝑝𝑎𝑡subscript𝑃𝑠𝑖𝑔𝑛𝑎𝑙W=\frac{P_{consumed,path}}{P_{signal}}italic_W = divide start_ARG italic_P start_POSTSUBSCRIPT italic_c italic_o italic_n italic_s italic_u italic_m italic_e italic_d , italic_p italic_a italic_t italic_h end_POSTSUBSCRIPT end_ARG start_ARG italic_P start_POSTSUBSCRIPT italic_s italic_i italic_g italic_n italic_a italic_l end_POSTSUBSCRIPT end_ARG (1)

where Pconsumed,path=Psignal+Pnonsignalsubscript𝑃𝑐𝑜𝑛𝑠𝑢𝑚𝑒𝑑𝑝𝑎𝑡subscript𝑃𝑠𝑖𝑔𝑛𝑎𝑙subscript𝑃𝑛𝑜𝑛𝑠𝑖𝑔𝑛𝑎𝑙P_{consumed,path}=P_{signal}+P_{non-signal}italic_P start_POSTSUBSCRIPT italic_c italic_o italic_n italic_s italic_u italic_m italic_e italic_d , italic_p italic_a italic_t italic_h end_POSTSUBSCRIPT = italic_P start_POSTSUBSCRIPT italic_s italic_i italic_g italic_n italic_a italic_l end_POSTSUBSCRIPT + italic_P start_POSTSUBSCRIPT italic_n italic_o italic_n - italic_s italic_i italic_g italic_n italic_a italic_l end_POSTSUBSCRIPT [178, 179]. The waste factor efficiency, ηW𝜂𝑊\eta Witalic_η italic_W, is the reciprocal of W𝑊Witalic_W. The additive wasted power of any device is given by

Pwaste=Pnonsignal=(W1)Psignalsubscript𝑃𝑤𝑎𝑠𝑡𝑒subscript𝑃𝑛𝑜𝑛𝑠𝑖𝑔𝑛𝑎𝑙𝑊1subscript𝑃𝑠𝑖𝑔𝑛𝑎𝑙P_{waste}=P_{non-signal}=(W-1)P_{signal}italic_P start_POSTSUBSCRIPT italic_w italic_a italic_s italic_t italic_e end_POSTSUBSCRIPT = italic_P start_POSTSUBSCRIPT italic_n italic_o italic_n - italic_s italic_i italic_g italic_n italic_a italic_l end_POSTSUBSCRIPT = ( italic_W - 1 ) italic_P start_POSTSUBSCRIPT italic_s italic_i italic_g italic_n italic_a italic_l end_POSTSUBSCRIPT (2)

where it is clear that any power that is consumed but not used in the output signal (e.g., Pnonsignalsubscript𝑃𝑛𝑜𝑛𝑠𝑖𝑔𝑛𝑎𝑙P_{non-signal}italic_P start_POSTSUBSCRIPT italic_n italic_o italic_n - italic_s italic_i italic_g italic_n italic_a italic_l end_POSTSUBSCRIPT) is wasted power.

Considering a cascade of N𝑁Nitalic_N devices having a device gain, G𝐺Gitalic_G, W𝑊Witalic_W for the device cascade can be obtained as [176]:

W=WN+(WN11)GN+(WN21)GNGN1++(W11)i=2NGi.𝑊subscript𝑊𝑁subscript𝑊𝑁11subscript𝐺𝑁subscript𝑊𝑁21subscript𝐺𝑁subscript𝐺𝑁1subscript𝑊11superscriptsubscriptproduct𝑖2𝑁subscript𝐺𝑖W={{W}_{N}}+\frac{\left(W_{N-1}-1\right)}{G_{N}}+\frac{\left(W_{N-2}-1\right)}% {G_{N}G_{N-1}}+\ldots+\frac{\left({W_{1}}-1\right)}{\prod_{i=2}^{N}G_{i}}.italic_W = italic_W start_POSTSUBSCRIPT italic_N end_POSTSUBSCRIPT + divide start_ARG ( italic_W start_POSTSUBSCRIPT italic_N - 1 end_POSTSUBSCRIPT - 1 ) end_ARG start_ARG italic_G start_POSTSUBSCRIPT italic_N end_POSTSUBSCRIPT end_ARG + divide start_ARG ( italic_W start_POSTSUBSCRIPT italic_N - 2 end_POSTSUBSCRIPT - 1 ) end_ARG start_ARG italic_G start_POSTSUBSCRIPT italic_N end_POSTSUBSCRIPT italic_G start_POSTSUBSCRIPT italic_N - 1 end_POSTSUBSCRIPT end_ARG + … + divide start_ARG ( italic_W start_POSTSUBSCRIPT 1 end_POSTSUBSCRIPT - 1 ) end_ARG start_ARG ∏ start_POSTSUBSCRIPT italic_i = 2 end_POSTSUBSCRIPT start_POSTSUPERSCRIPT italic_N end_POSTSUPERSCRIPT italic_G start_POSTSUBSCRIPT italic_i end_POSTSUBSCRIPT end_ARG . (3)

With W, circuit designs can be optimized for minimal energy waste; individually optimized devices can then be cascaded to build energy-efficient communication systems that form an energy-optimized network together. Further, W can also be implemented in data centers to minimize their power waste [176]. The wasted power determined using W𝑊Witalic_W can be elegantly tied to the data rate delivered by the cascaded communication system to evaluate the Consumption Efficiency Factor (CEF) [180, 175]. Mathematically, CEF is expressed as

CEF[bpsWatt]=Data rate [bps]W×Output Signal Power [Watt]CEFdelimited-[]𝑏𝑝𝑠𝑊𝑎𝑡𝑡Data rate delimited-[]𝑏𝑝𝑠𝑊Output Signal Power delimited-[]𝑊𝑎𝑡𝑡\text{CEF}\leavevmode\nobreak\ \left[\frac{bps}{Watt}\right]=\frac{\text{Data % rate }[bps]}{W\times\text{Output Signal Power }[Watt]}CEF [ divide start_ARG italic_b italic_p italic_s end_ARG start_ARG italic_W italic_a italic_t italic_t end_ARG ] = divide start_ARG Data rate [ italic_b italic_p italic_s ] end_ARG start_ARG italic_W × Output Signal Power [ italic_W italic_a italic_t italic_t ] end_ARG (4)

and signifies the data throughput per watt of power consumed. Therefore, with W𝑊Witalic_W and CEF𝐶𝐸𝐹CEFitalic_C italic_E italic_F, minimizing energy waste becomes an intrinsic part of the design process, creating the pathway for realizing the “green-G" future.

III-E Summary

In a nutshell, these are the key takeaways relating to the state of the art in THz device technologies:

  1. 1.

    Terahertz analog front-ends are quickly becoming available. Today, electronic frequency-multiplied transceivers at sub-terahertz frequencies are commercially available for equipment testing while the research community shifts towards developing silicon/III-V heterogeneous systems, photonics, and, eventually, plasmonic-based configurations. A summary of the technologies is provided in Table I.

  2. 2.

    The small wavelengths of terahertz signals enable the development of (sub) millimetric omnidirectional antennas as well as very high gain directional antennas with compact footprints (e.g., 20 dBi in 1 cm2). The latter can be in the form of fixed directional antennas (e.g., horn antennas, commercially available) or antenna arrays (still in development).

  3. 3.

    In addition to antennas, compact lenses, and metasurfaces can be utilized to engineer the terahertz radiation at the transmitter, at the receiver, and along the channel in the form of RISs. The main challenge today is to make such surfaces programmable at terahertz frequencies due to the challenges in developing tunable elements in this band.

  4. 4.

    While the main motivation to move to terahertz frequencies is the availability of larger bandwidths, today, one of the main technology challenges relates to the ability to digitally process them, mainly due to the cost, size, power requirements, and energy consumption of high-speed data-converters. This motivates both the adoption of largely parallelized signal processing techniques (e.g., multi-channel systems), as well as the development of hybrid analog & digital processing techniques.

  5. 5.

    Across all the building blocks of a terahertz radio, energy efficiency becomes critical. The waste factor is a new metric that allows end-to-end optimization of energy consumption.

IV Key Lessons from
Terahertz Channel Modeling

IV-A Overview

Channel measurements and channel modeling for terahertz communications in various environments have been one of the primary research targets in the community until very recently. To date, hundreds of measurement-based and simulation-based terahertz channel models have been presented in parallel to tens of analytical terahertz channel model studies [181, 182]. Because of the high close-in free space path loss at greater frequencies (e.g., smaller wavelengths), virtually all propagation measurements and communications in the terahertz bands (perhaps with the exception of WNoC and IoNT) require directional antennas, from which omnidirectional channel models are created [183, 184]. Omnidirectional channel models are used by industry within standards bodies such as the 3GPP global cellphone standards body so that any antenna pattern may be implemented in simulation [185, 186].

Notably, as we argue in this article, the evolved understanding is that there will not likely be any single widely adopted terahertz channel model. Instead, there is a need to develop a range of terahertz channel models tailored to a specific use case and a particular propagation environment. The reason here is that while the physics of the terahertz wave propagation does not change much among the use cases, different distinct features of terahertz propagation have different weights when it comes to their contribution to the structure of the received signal. Therefore, it is more beneficial to work on a set of terahertz channel models for different use cases rather than aim to deliver a single unified model (with enormous complexity) that suits them all.

Below, we briefly outline and review the latest findings in the field going from simpler to more complex setups that are potentially within the 6G timeline: outdoor terahertz links, indoor terahertz access, and vehicular terahertz systems. We also mention the specific use cases from the list above for which the given modeling approach is the most applicable. We start with reviewing outdoor setups, where the line-of-sight (LoS) terahertz path is the dominant factor determining the performance in subsection IV-B. We then proceed with subsection IV-C detailing the peculiarities of terahertz channel indoors. We later discuss vehicular terahertz setups in subsection IV-D. The key takeaways are given in subsection IV-E. Table II illustrates and complements our discussion.

IV-B Outdoor Terahertz Channel

Refer to caption
Figure 8: Outdoor UMi omnidirectional CI path loss models with a reference distance of 1 m and without antenna gain [12]. 28, 73, and 142 GHz measurements were conducted in New York and 38 GHz in Austin, TX.

The non-occluded LoS terahertz channel typical for various outdoor environments is one of the most in-depth studies to date. During the last two decades, numerous measurement-based and modeling-based studies have explored various aspects of outdoor terahertz channels. We aim to summarize the key findings from those below. In brief, the impact of five different effects have been studied to date: (i) spreading loss; (ii) molecular absorption loss; (iii) molecular absorption noise; (iv) scattering; and (v) diffraction [181]111In addition to these five, outdoor terahertz channel may also feature non-negligible impact of human-body blockage and the multi-path components coming from, e.g., non-line-of-sight paths, reflected or scattered from buildings or other obstacles, as further discussed in the next subsection..

IV-B1 Spreading Loss

Propagation path loss over distance is based upon the spherical spreading of the radiated wavefront (e.g. 1/d21superscript𝑑21/d^{2}1 / italic_d start_POSTSUPERSCRIPT 2 end_POSTSUPERSCRIPT) as modeled by H. Friis in the 1940s [187]. The distance-squared path loss is well known to represent free space loss due to spreading, with the “2222” often referred to as the path loss exponent [39]. Today, the general understanding is that the spreading loss is the dominant factor when it comes to LoS outdoor sub-terahertz and terahertz channels contributing the most to the overall attenuation in the majority of conditions. This conclusion has been confirmed in various theoretical [188, 189], simulation-based [190, 191, 192], and measurement-based studies for a wide range of scenarios including urban microcell (UMi) [12], urban macrocell (UMa) [193], and rural macrocell (RMa) [194], among others[195, 196, 197, 198, 199, 200, 201]. Based on the close-in path loss model with a reference distance of 1 m, measurements conducted for UMi scenario in New York and Austin, TX, Figure 8 plots the path loss with distance at mmWave and sub-terahertz frequencies in Line-of-Sight (LoS) and Non-Line-of-Sight (NLoS) [12]. The CI model characterizes the path loss behavior with a single parameter, the path loss exponent (n), beyond the free-space reference distance and was found to be the most robust using a vast range of measurement databases across the mmWave and the sub-THz spectrums [202]. To overcome the increasing free-space path loss, wireless systems have adopted highly directional antennas and antenna arrays with narrow beamwidths at both ends of the link[203, 17]. As the physics of terahertz spreading is not principally different from lower frequencies (including mmWave), most of the measurement-based works agree on the fact that the average distance-dependent path loss exponent for sub-terahertz and terahertz channels is around 2 (with slight deviations depending on the scenario) [204], as illustrated in Figure 8. Hence, the canonical free-space path loss (FSPL) equation originated by Friis holds for line-of-sight (LoS) terahertz propagation as well.

However, one essential element to highlight here is that the FSPL equation is based on the Friis transmission equation, which is only valid in the far field [169]. Therefore, the FSPL-based model is only valid for long-range stationary terahertz communications (e.g., for fronthaul and backhaul) employing small-scale horn or lens antenna. On the contrary, mobile terahertz communications for 6G-grade and 7G-grade wireless access links will likely utilize notably larger phased terahertz arrays or, even leverage terahertz IRS [162, 152, 205]. The distinct feature of the latter is a non-negligible near-field zone of the terahertz antenna system that can be comparable to the range of mobile terahertz communications, as discussed in Section III-B. The near-field region for commonly used terahertz antennas can be of tens to hundreds of meters or even more as we move to true terahertz frequencies. Such values are comparable to the coverage range of prospective terahertz outdoor cells and also exceed the target coverage range of indoor terahertz WLAN access points discussed in the next subsection. The fact that the receiver is in the near field zone of the transmitter lowers the distance-dependent exponent far down from 2 and also leads to multiple research challenges summarized in the next section.

IV-B2 Molecular Absorption

The second key feature often mentioned in terahertz outdoor channel studies is the additional signal attenuation caused by molecular absorption. While molecular absorption loss is not exclusive to terahertz frequencies (e.g., some mmWave sub-bands, such as around 60 GHz, are notably affected as well), it can be orders of magnitude stronger than at lower frequencies [189]. Molecular absorption loss does not exist in a vacuum but in other environments typical for terahertz communications. Specifically, when the terahertz wave propagates through a gas, some portion of the wave energy gets converted into the kinetic energy of some of the environment molecules that have their resonant frequencies next to the ones of the terahertz wave itself [206]. The presence of molecular absorption loss (i) makes terahertz channel (even in the simplest LoS case) frequency-selective; and (ii) adds an additional distance-dependent exponent to the aggregated path loss equation that notably complicates further analysis [207, 208, 209].

Refer to caption
Figure 9: Airplane terahertz communications measurements and results [210].

However, the impact of molecular absorption is of secondary importance and can be neglected in many typical use cases and environments under two important conditions. The first condition is that the entire spectrum of the transmitted signal lies within the so-called terahertz transparency window [211, 212]. For any sub-terahertz transmission, this one is fulfilled automatically, as the first transparency window is up to 540 GHz, while sub-terahertz transmissions are limited to 300 GHz [213]. The second important condition comes from the distance-dependency of the molecular absorption loss. Here, it has been revealed both theoretically and experimentally that (under the first condition as well) the molecular absorption loss has an impact of less than 2 dB for any distance shorter than a few hundred meters. Therefore, the impact of molecular absorption can be ignored in the first-order analysis of sub-terahertz channels for outdoor cellular access, V2X, vehicular radars, and even short-range fronthaul and backhaul terahertz links. The only exception here is substantially wet weather (e.g., heavy rain) when an additional 2-3 dB should be subtracted from the received power value estimated by the terahertz channel model [30].

Airborne terahertz communications (including airplane-to-satellite links) are a special case for terahertz channel modeling. Particularly, as terahertz molecular absorption is not only distance but also a pressure- and temperature-dependent variable, the value changes at different altitudes. As both higher temperature and greater pressure contribute to the terahertz molecular absorption loss, its value decreases rapidly with altitude (lower pressure and colder air). Specifically, terahertz molecular absorption loss becomes almost negligible at altitudes greater than 10 km making this effect not only distance- but also altitude-dependent. For selected terahertz sub-bands this comes to an interesting trade-off as recently revealed in [210] and illustrated in Figure 9. Specifically, starting from a given altitude, a notably longer (e.g., 200 km) link between an airplane and a LEO satellite features lower loss than a comparable link between the same airplane and the ground station (no more than 10 km away).

Notably, molecular absorption in terahertz communications is not always an exclusively negative effect to avoid. In contrast, this effect can also be leveraged to e.g., boost the secrecy and security of the data exchange over the terahertz channel. Specifically, as discussed in [214] among other works, the transmission over the terahertz band can be limited in range by sensing the signal in the sub-band close to one or several “absorption lines” – frequencies features by a notably greater molecular absorption loss. Hence, if the separation distance between the transmitter and the receiver is known, the transmitter becomes capable of “cutting” the message propagation beyond the receiver, so any other nodes staying further away cannot reliably decode the message.

IV-B3 Molecular Absorption Noise

The third distinct feature studied for terahertz communications is the molecular absorption noise. This effect is caused by the fact that a fraction of the kinetic energy absorbed by the molecules from the terahertz signal is reemitted back to the environment as a standalone signal at the same/similar frequency as per the so-called emissivity of the channel [206, 189]. Canonical 5G-grade channel models typically decouple noise-related effects from channel-related effects, as most noise sources are present at the receiver and are thus not part of the channel model itself. This is different for terahertz communications, as this specific type of noise – molecular absorption noise — comes not from the receiver but from the environment itself. It has been further revealed that this noise source is also correlated with the transmitted signal (e.g., greater transmit power leads to greater noise level) [215, 216]. Hence, accounting for the presence of molecular absorption noise properly is extremely challenging. E.g., even the use of canonical Shannon capacity and signal-to-noise ratio (SNR) formulas implies that the noise is independent of the signal, which is no longer true at terahertz frequencies. The resulting analytical channel and interference models in the presence of molecular noise get notably more complicated compared to the ones in the very same setup but ignore this effect [209].

Fortunately, the total power of molecular absorption noise theoretically cannot exceed the power captured from the molecular absorption loss discussed above. Hence, the latest studies conclude that (while the molecular absorption noise is likely present in most of the setups) its contribution to the received signal power and shape is of secondary importance compared to (i) spreading loss and (ii) molecular absorption loss itself. Therefore, a common trend recently is to start ignoring this effect in complex first-order studies for the sake of analytical tractability [217, 204]. This choice is recommended for channel modeling targeting most practical terahertz use cases from Section II and most environments. While the accuracy is affected only slightly, the overall complexity of the analysis decreases dramatically, allowing for more sophisticated models to be built.

IV-B4 Scattering and Diffraction

The remaining two items related to terahertz channel modeling in open environments are scattering and diffraction. Both can be theoretically characterized by relatively complex expressions, as in, for example, in [218], among other works. The good news here is that scattering loss in terahertz communications (in contrast to optical wireless systems) in typical homogeneous environments (e.g., air) is extremely low and can be ignored in first-order analysis in most conditions. Two exceptional cases here are: (i) adverse weather conditions (specifically, snow), where scattering from snow particles may lead to additional 5-8 dB losses and extra multi-path created even in a pure LoS channel [29]; and (ii) Scintillation/atmospheric turbulence effects. Therefore, as discussed further in [30], different terahertz channel models for the fronthaul/backhaul terahertz wireless link are needed for summer and winter conditions.

Regarding diffraction, the effect is especially visible when the terahertz signal meets a sharp object (e.g., a side of the building or furniture element). One of the essential findings here is that the terahertz link can be established even in partial blockage in the “obstacle’s shadow” due to the diffraction effect [219]. However, this finding is primarily related to NLoS terahertz communications discussed in the next subsection. On the contrary, diffraction from the environment molecules themselves (e.g., different molecules present in the air) is almost always negligible when it comes to terahertz channel modeling.

IV-C Indoor Terahertz Channel

Another active area of research related to terahertz channel modeling primarily targets indoor terahertz channels. Indoor terahertz small cells or terahertz-empowered WLANs are among the target use cases and deployment scenarios for terahertz communications, as discussed in Section II. Complementing the findings on LoS terahertz propagation discussed above, indoor terahertz channel measurement and models primarily focus on the impact of the terahertz wave interaction with typical obstacles, such as building walls, furniture elements, and human bodies222As noted in the previous subsection, selected findings on the human-body blockage and reflection/scattering from building walls may also be relevant to outdoor terahertz channel models, e.g., for outdoor mobile access links..

IV-C1 Room environment: Home or Office

Home or office is one of the most present environments when it comes to research works on indoor terahertz channels (both measurement-based and modeling-centric). Several key building blocks are needed to enable accurate terahertz channel modeling for indoor environments. The first one is an accurate and flexible terahertz LoS propagation model capturing all the essential features from the previous subsection. The second building block in an accurate characterization of the major objects present in the environment (particularly their penetration, reflection, and scattering properties at the frequencies of interest must be revealed).

Here, an extensive set of studies has been delivered at the early stages of 5G standardization for mmWave communications, specifically focusing on 26 GHz–30 GHz and 52 GHz–71 GHz frequency bands. The key findings from these studies are well summarized in earlier tutorials on mmWave indoor propagation [220, 195, 221]. After a decade of measurement and modeling of indoor sub-terahertz and terahertz communications, we may conclude that there is a great similarity between the mmWave and the terahertz channel when it comes to indoor environments [10, 222, 223]. For both frequency bands, the presence or absence of the LoS component is the primary factor determining the link performance. Further, both bands feature strong reflections from flat surfaces, such as office desks and primarily walls, glass windows, and even ceilings [42].

The key difference of terahertz communications here is not qualitative but quantitative. Specifically, many typical indoor surfaces are good reflectors for low-mmWave signals (e.g., 28 GHz). Therefore, many indoor mmWave channel models assume them perfectly flat and act as reflectors with a certain loss of usually no more than a few dB. The difference here comes from the fact that for sub-terahertz and especially true terahertz frequencies above 1 THz (hence, over 30 times shorter wavelength than at 28 GHz), the same typical home or office surfaces (e.g., a painted wall or a wooden door) are not flat anymore with non-negligible roughness [224]. As an example, 142 GHz scattering measurements in [225] identified rough surfaces with the Rayleigh Criterion [187], and found relatively high scattered power in non-specular directions for incident angles below 30 degrees for drywall. As the variations in the surface level become comparable to the sub-millimeter wavelength of terahertz communications, the reflected signal is weaker, while additional scattered signal copies are created. These scattered signals do not follow the law of reflection, so they propagate in many directions. Further, these scattered components get reflected and scattered further from other objects in the environment, creating additional signal copies. Hence, while many mmWave models give sufficiently accurate predictions indoors by purely modeling LoS and reflected paths (e.g., via ray-tracing methods), an accurate channel model for terahertz communications benefits from also including at least the first-order scattered paths (transmitter-obstacle-receiver) into the analysis [42].

IV-C2 Corridor and Data Center

The key peculiarity of indoor terahertz channel modeling for corridors is that a long corridor may act as a “waveguide” for terahertz signals. Notably, as the length of the corridor is typically at least several times greater than its width and height, the angle of departure (AoD) and angle of arrival (AoA) for most of the signal paths are relatively close to each other. This is a distinct feature of the corridor environment from a regular office or homeroom, where multipath comes from almost any angle due to pseudo-random reflection and scattering from the surfaces surrounding the transmitter and the receiver. Therefore, many of these multipath copies of the transmitted signal will be successfully received even when using narrow-beam terahertz antennas. Hence, in contrast to an indoor setup that features occasional multipath that can be ignored in first-order studies, the terahertz channel model for corridors must capture the multipath components coming at least from the first- and second-order reflections, as they may be not notably weaker than the LoS component.

In general, modern channel models developed for terahertz communications in data centers (one of the distinct use cases for terahertz, as discussed in Section II) have large similarities to the ones used for corridors. This comes from the similarity of the environments and propagation conditions, as most data centers exploit corridor-based layouts with several rows of racks staying parallel to each other with a certain (usually fixed) separation distance. The key difference here comes primarily from the materials used for the server rack blocks (usually flat aluminum, steel, or plastic covers). Hence, a series of server racks acts similar to a flat wall with perfect reflection capabilities. As a result, the formed corridor may also act as a waveguide leading to the power delay profile (PDP) featuring a single strongest LoS component that comes first. The PDP continues by a few visible slightly weaker first- and second-order reflections followed by numerous higher-order reflections and scattered components that are typically too much delayed to contribute to the received power of the given symbol and primarily contribute to the inter-symbol interference (ISI) [226].

IV-C3 Human-body Blockage

One of the essential features of mmWave and, especially, terahertz communications is substantial penetration loss when propagating through the human body. According to medical studies, up to 60% of the adult human body consists of water, which is a strong absorber of terahertz radiation. Consequently, the human-body penetration loss at mmWave, sub-terahertz, and terahertz frequencies ranges on the conditions (angle of incident, part of the body affected, beamwidth, etc.) but is in the order of 20 dB up to 35 dB [227]. Such great losses not only challenge the overall average system performance (e.g., the capacity of the terahertz link) but also lead to frequent and unexpected outage events caused by dynamic human-body blockage.

An important aspect here is that these dynamic blockage events happen rapidly (e.g., the power degrades fast when the human crosses the communication path), so the communication system often does not have sufficient time to detect the event and react accordingly when the blockage happens. In normal conditions, these dynamic blockage events may last from hundreds of milliseconds up to several seconds, thus violating almost the quality of service (QoS) requirements for almost any traffic category besides the background file transfer [228].

Therefore, the latest studies agree that the human-body blockage must be accounted for in terahertz channel modeling for use cases and environments that involve human users (or even the pedestrians passing by who are not users). A consensus approach here is to model the human body as a cylinder with a given height and width representing a typical person (e.g., 1.7 cm in height and around 0.4 cm in width). Whenever a certain part of the signal passes through the human body, the corresponding signal is attenuated by a given number of decibels (usually, no less than 20 dB).

A more advanced option is to model diversity of humans in the environment by defining the cylinder height and width as stochastic variables (e.g., following a Normal distribution with a given mean and variance [229]). This approach acknowledges the fact that humans are different from each other but requires greater computational resources (for simulation-based models) as well as leads to more sophisticated analytical expressions (for theoretical models). Finally, the most in-depth approach suggests replacing a first-order cylinder model with more accurate models for different human body parts [230]. This approach improves the accuracy of the resulting models but is exploited relatively rarely due to its notably greater complexity.

Another essential aspect of human-body blockage for indoor/outdoor terahertz access links is self-blockage caused by the body of the human user itself. Here, the most widely adopted approach is to model a fixed separation distance between a terahertz mobile device and the person holding it. Hence, a certain 2D or 3D angle sector gets blocked by the user body, as revealed in [231] and other works on the topic.

IV-D Vehicular Terahertz Systems

Following the discussion in Section II, the vehicular environment is one of the promising use cases for terahertz communications, terahertz radar, and joint terahertz communications and sensing solutions. terahertz hardware components have made significant progress over the recent decade. Still, modern sub-terahertz (and especially terahertz) hardware is not sufficiently compact, cost-efficient, and energy-efficient to aim for its successful adoption in next-generation handheld personal devices (such as smartphones, tablets, laptops, or XR glasses). On the contrary, vehicle-mounted systems feature notably less stringent restrictions on their weight (compared to the weight of the vehicle itself), cost, and power budget [232].

However, introducing vehicles to outdoor terahertz channels leads to several distinct features that require additional efforts in terahertz channel modeling. First and foremost, the vehicle body itself is a complex obstacle that is neither transparent for terahertz radiation nor absorbs/reflects it back in every configuration. Specifically, the latest studies show that a promising approach to model the vehicle body in terahertz channel modeling is by following the layered sandwich-type path. The measurements reported in [233] show that the vehicle body is almost non-transparent at the engine level (up to 0.8 m, on average), while only decreasing the power of the terahertz signal passing at the windows level (0.8 m–1.5 m, depending on the vehicle) by a few decibels. Hence, a two-layer model (vehicle representation with a semi-transparent parallelepiped on top of a non-transparent parallelepiped) is the minimal set needed for terahertz channel modeling, while additional layers (roof, wheel, etc.) can be added as needed to improve the accuracy further.

The second important distinct feature of vehicular terahertz setups that should not be neglected in channel modeling is the signal propagation under the vehicle. Depending on the car model, the vehicle clearance (empty space under the vehicle body) may vary from typically 10 cm to 22 cm. As noticed in many works (from [234] down to [235], among others) and illustrated in 10, this “tunnel” under the vehicle often acts as a waveguide when it comes to vehicular communications (especially, direct vehicle-to-vehicle links), featuring relatively low signal attenuation [233]. Therefore, for more accurate modeling, the two-layer obstacle representation from above should be converted into a three-level model with another 10 cm–20 cm transparent layers added to the bottom.

Last, the human-body blockage mentioned in the previous subsection is often modeled with a group of identical (or at least statistically identical) human-body models, as humans are not several times different in size. These models may include non-transparent cylinders of a given height (average human height with zero or non-zero variance) and radius (average human width with zero or non-zero variance) or more sophisticated models of several cylinders/spheres representing different parts of the human body. On the contrary, there are notably different categories of vehicles present on a typical road: from small city cars to trucks, busses, and trams that can be longer than five cars combined. As noted in several studies (including, among others, [236] and [237]) the presence of such large vehicles may impact a lot the performance of mmWave and terahertz wireless links and thus must be accounted for in terahertz channel modeling. One of the feasible approaches here recommended is to develop a set of statistical models for the most common vehicle types (e.g., car, bus, and truck) and then deploy them on-site with a certain proportion following the percentage of those on a typical road.

Refer to caption
Figure 10: Non-negligible under the vehicle propagation in terahertz vehicular communications at 300 GHz.
Table II: Importance of key propagation effects in terahertz channel modeling for different use cases.
Key effects Deployment scenarios
Indoor Outdoor Vehicular Vehicular
Spreading High High High High
Absorption Low Medium Medium High
Reflection High Medium High Low
Scattering Medium Low Medium Low
Diffraction Low Low Low Low
Blockage High Medium High Low
Example models [238, 239, 240, 222, 241, 224, 242] [29, 31, 199] [54, 233] [210, 63]

IV-E Summary

In the authors’ view, the following are the key takeaways from the latest progress on terahertz channel modeling:

  1. 1.

    The terahertz channel model must be used case-specific and deployment-specific to be sufficiently accurate.

  2. 2.

    The characteristics of the terahertz channel are heavily dependent on the presence/absence of the clear LoS between the transmitter and the receiver; however, concluding that terahertz links can only work within LoS conditions is wrong and simplistic, there are numerous deployment configurations, where NLoS terahertz links provide sufficient link budget for communication purposes (e.g., through a clear firs-order or second-order reflection).

  3. 3.

    Unlike prior approaches (e.g., 3GPP-driven for 5G-grade systems [243]) it is not always possible to decouple the antenna-related effects from the channel-related effects due to the large size of the terahertz near field zone.

  4. 4.

    When operating in transparency windows [211] or via relatively narrow terahertz sub-bands far from the absorption lines, for indoor setups, molecular absorption effects are of secondary importance compared to blockage, reflection, and scattering from obstacles present in the environment. The situation is the opposite in airborne setups, where absorption plays a non-negligible role, while the impact of blockage/reflection is often negligible.

  5. 5.

    Under-vehicle propagation should not be ignored when modeling terahertz channels in the presence of cars and other vehicles in the environment.

Concluding two in-depth discussions on terahertz hardware and terahertz channel in the present and the previous section, we now proceed with outlining some of the pressing challenges and open research problems in the field below.

V Challenges and Open Research Directions

V-A Next Steps in Terahertz Hardware

V-A1 Towards True Terahertz Ultrabroadband Devices

While the so-called “terahertz Gap" describes the lack of electronics or photonics means to generate and receive terahertz signals, one of its fundamental causes is the lack of terahertz electronic, photonic or plasmonic devices. Therefore, there is a perennial need for electronic or photonic devices that operate efficiently and effectively in the terahertz frequency bands. In all three approaches, there is a need to increase the power, frequency of operation, and/or bandwidth. Besides the adoption of non-CMOS or beyond-CMOS technologies, including vertical SiGe HBT devices and InP or GaN devices [19], new materials enter the game. In addition to graphene, other 2D nanomaterials, such as hexagonal boron-nitride (h-BN) or molybdenum disulfide (MoS2), and few-atom-thick heterostructures open the door to new physics and properties that can be leveraged for terahertz wireless applications [244, 245]. While these technologies will not be ready for 6G, they are likely to be found at the basis of future generations.

V-A2 Circuits and System Architectures

As we discussed in Section III-B, the very small size of individual sub-terahertz and terahertz antennas allows their integration in large numbers in very small footprints. However, fitting the frontend electronics and antennas into the small λ/2𝜆2\lambda/2italic_λ / 2 by λ/2𝜆2\lambda/2italic_λ / 2 array pitch has become increasingly challenging. One possible solution is to explore ultra-compact circuit topologies [246, 247, 248]. Alternatively, one may explore new packaging techniques and new 2D array architectures. For example, a popular architecture is the array of sub-arrays [139, 249], in which multiple digital channels control multiple analog chains each. Each analog chain, in turn, might be a fixed array or might incorporate amplitude, phase, or delay controllers.

Alternatively, the adoption of graphene-based plasmonic technologies can lead to the design of fully digital arrays with direct modulation and beamforming weight control per antenna, potentially opening the door to ultra-massive MIMO systems [149, 137]. As discussed in Sec. III-A, sub-micrometric on-chip plasmonic terahertz sources and terahertz modulators together with micrometric plasmonic antennas can lead to extremely compact front-ends. Moreover, these front-ends leverage the concept of direct RF or antenna modulation, where, as opposed to traditional digital systems in which the in-phase (I) and quadrature (Q) components are generated in baseband digitally before being converted to the analog domain, a few or even a single digital line is utilized to control the amplitude and/or phase of the signal directly at RF [250, 251, 252]. The possibility of replacing large, power-demanding data converters with individual digital lines might lead to new, more compact, and energy-efficient array architectures. While plasmonic technology is relatively at a very early stage, the concepts of direct RF or antenna modulation are also actively explored at mmWave and sub-terahertz frequencies with CMOS technology.

V-A3 Packaging Technologies

Advanced packaging technologies are foundational to 6G electronics since they enable heterogeneous integration of different components, e.g., antennas, THz frontend circuits, analog baseband circuits, and digital backends, using different process technologies for system-level optimization. The key considerations on packaging technologies include RF performance (loss tangent), pin pitches, fabrication tolerance, thermal handling, thermal expansion, and mass producibility. Widely used in existing RF products, low-temperature co-fired ceramic (LTCC) technology offers low-loss tangent and hermetic properties. However, LTCC is limited to thicker substrates, large feature sizes, and smaller panels, which are not compatible with 6G THz electronics that require fine signal pitches and large-scaled array integrations [253]. liquid crystal polymers (LCP) offers low loss tangent and low moisture absorption but exhibits a large coefficient of thermal expansion (CTE) and difficulty in creating precise cavities and fine resolution traces [253]. Recently, there has been an increasing interest in employing glass substrate for 6G sub-terahertz/terahertz packaging due to its ultra-low loss tangent, precise metalization, fine signal pitch/density, and low cost. Example D-band radio-on-glass and phased-array-on-glass have been demonstrated with excellent performance [254, 255].

V-B Next-Generation Terahertz Channel Models

Summarizing the discussion in the previous section on the progress in channel modeling for terahertz, there are three key challenges ahead toward the design of accurate, flexible, and useful next-generation channel models for terahertz communications:

V-B1 Near-field Effects

The major distinct feature of terahertz communications in comparison to existing 5G-grade mmWave solutions is the non-negligible near-field zone of terahertz antennas [256] that lasts for several (tens) of meters. As illustrated in multiple studies ( [257], [258], and [259], among many others), the near-field effect cannot be neglected for terahertz frequencies, as the received signal gets a notably different structure than with the canonical far-field assumption, Specifically, the antenna gain function in the near field becomes not only angle but also distance-dependent. As discussed further in [152], canonical far-field beamforming can demonstrate up to 7–10 dB difference in the terahertz near field versus the expected values from existing propagation models. Therefore, accurate and flexible extensions are to be introduced into the next-generation terahertz channel models to account for this important effect.

Another essential feature of near-field terahertz communications impacting next-generation channel models is the fact that the antenna-related effects cannot be that easily decoupled from the environment-related propagation effects. The key reason here is that the length of the near-field propagation zone (and also the impact on the received signal) heavily depends on the selected antenna configuration [260]. Hence, a commonly-used (e.g., in 3GPP TR 38.901 [243]) two-stage approach, where the channel model is first derived assuming omnidirectional propagation and then tailored independently to different antenna configurations, is not directly applicable anymore and has to be modified accordingly [261]. Last but not least, the community also recently started actively exploring alternative wavefronts to complement or replace beamforming for near-field terahertz communications. These include, among others, beamfocusing, self-healing Bessel beams, and curved-shape Airy beams, all demanding different extensions in next-generation terahertz channel models [262, 170].

V-B2 Mobile Terahertz Users

Another inherent limitation of existing 5G-grade mmWave and state-of-the-art terahertz channel models is the fact that the overwhelming majority of them are designed exclusively in stationary conditions, where both communicating nodes do not move during the entire duration of the data exchange. While this is a valid assumption in most cases at lower frequencies, for terahertz communications with an order of magnitude shorter wavelength, this is not 100% applicable, as even minor changes in the node’s location may lead to drastic variations in the received signal. This is especially crucial in the terahertz near field (as discussed above) and in indoor environments featuring rich multipath. Specifically, a slight change in the node location may change the sim phase/counter phase arrival of multipath components or even lead to a given strong multipath component being added/removed completely from the channel frequency response.

Last but not least, terahertz communications are envisioned to exploit extremely directional beams. Hence, in combination with large-scale mobility (movements of the user node itself), terahertz communications are notably affected by micro-scale (or small-scale) mobility of the mobile device itself (e.g., random displacements and rotations). As illustrated in a few recent studies (including but not limited to [263] and [217]), these small-scale movements (and especially small-scale rotations) may have an even greater impact on the performance of the terahertz link. Hence, while developing next-generation channel models for stationary terahertz communications is a valid approach, designing novel types of terahertz channel models already accounting for possible small-scale and large-scale mobility of one or both communicating nodes is a much more valuable option and a tempting research direction.

V-B3 Generalized Statistical Channel Models

Developing generalized statistical channel models for terahertz communications is the third key research direction from today’s terahertz channel models to next-generation approaches suitable for 6G standardization and beyond. recall that one of our key lessons discussed above is that there is likely no possibility to develop a “common” terahertz channel model suitable to all the environments, use cases, and node configurations (e.g., antennas). Therefore, the state-of-the-art vision is that the channel model must be tailored to a specific environment and a specific use case. Indeed, when comparing channel modeling (and especially channel measurement) contributions for sub-terahertz and terahertz frequencies, they evolved from general channel models for a wide range of scenarios back in the 2000s and 2010s (e.g., [189]) all the way very in-depth studies on the peculiar effects in a particular corridor or an office environment with this exact layout of furniture [239, 264].

On one hand, this leads to notably more accurate results. On the other, the applicability of these environment-specific terahertz channel models is ultimately limited to exactly the same environment and the same hardware used for the data exchange. Following the discussion in the two items above, even slight variations introduced into the setup may lead to a notably different picture at the receiver. Hence, there is a clear gap here to address when developing next-generation channel models for terahertz communications – designing a sufficiently general (thus widely applicable) while still sufficiently accurate terahertz channel model. Some essential research questions here to answer are: (i) what is the required level of detail in the environment that is sufficient for terahertz channel modeling (e.g., resolution of the obstacles and their materials) and (ii) what kind of small-scale and large-scale mobility can be tolerated without rapid deviations in the channel frequency response.

As of today, one of the promising directions here seems to be following the 3GPP-style approach (e.g., as in TR 38.901 and earlier documents for channel modeling up to 100 GHz), where the model is developed not for a given environment, but for a given class of environments (e.g., any outdoor city street, or any indoor office building with typical characteristics). Maintaining the balance between accuracy and flexibility is one of the major challenges on the way from existing general terahertz channel models (not applicable to any given non-trivial scenarios) and existing scenario-specific terahertz channel models (not applicable to any other specific scenario) toward next-generation terahertz channel models for various typical classes of use cases and deployment scenarios (e.g., indoor office, outdoor cell, vehicular, WNoC, etc.).

However, the 3GPP modeling approach identifies a large number of “clusters” with each cluster having several multipaths traveling close in both space and time. Empirical observations show that multipaths in the same time cluster can arrive at a receiver from different spatial directions and multipaths in a spatial cluster can arrive at distinct times[265]. Moreover, multipaths in outdoor and indoor wireless channels are observed to become sparser when transitioning from mmWave to sub-terahertz and beyond [266]. With the increased sparsity, deterministic channel modeling using ray tracing tools, such as NYURay [267], can prove valuable for gaining insight into the propagation behavior at terahertz frequencies where accurate maps of the specific environment are available.

The time-cluster spatial-lobe approach models multipath propagation behavior through independent time and spatial clusters and forms the basis for the NYUSIM simulator for channel modeling up to 150 GHz in indoor, outdoor, and factory scenarios[238, 195]. Similarly, tools like TeraSim [268], conceived for the simulation of more general applications of terahertz systems (beyond cellular networks) are also currently being adopted to perform full-stack performance analyses of next-generation cellular networks [269, 270, 271, 272]. Tables I and II in [204] showcase other popular channel models and simulators for 5G and beyond, many of which will keep evolving to incorporate terahertz communications in the future.

V-C Building the Physical and Link Layer of Terahertz Networks

The design of the physical and the link layers of terahertz communication systems need to capture both today’s and the envisioned capabilities of terahertz radios as well as the peculiarities of the terahertz channel. In this section, we discuss how different state-of-the-art communication and networking technologies can achieve this goal.

V-C1 Bandwidth vs Beamwidth: Exploiting the Trade-off

As discussed in Sec. II, the applications of terahertz communications are very diverse, ranging from WNoC to non-terrestrial networks (NTNs). As a result, it is difficult to define typical values for the transmit power, antenna configuration, bandwidth, and, ultimately, achievable bit rate.

The transmit power of a terahertz radio drastically changes across frequencies. For example, as discussed in Sec. III-A, the NASA Jet Propulsion Laboratory (JPL) has demonstrated world-record high-power frequency multipliers with nearly 200 mW in the sub-terahertz range [57]. The same technology at 1 THz exhibits only a few milliWatts. To compensate for the relatively low power and increase the signal strength at the receiver, high-gain directional antennas are commonly utilized. Again, as discussed in Sec. III-B, the small wavelength of terahertz signals allows for high directivity antennas in a very small footprint. Besides this, is it relevant to note that the ability to close a link at the receiver depends not only on the received signal strength but on the total noise at the receiver and, ultimately, on the SNR. The noise power itself depends on the technology being used as well as on the total bandwidth. Therefore, there are many cases in which reducing the bandwidth is needed to close the link.

The bandwidth of a terahertz communication system depends on multiple factors, including the hardware capabilities, the channel peculiarities, and the legal limitations. As discussed in Sec. III, current sub-terahertz and terahertz transceiver and antenna architectures can easily support 10 GHz of bandwidth and more. For example, in the TeraNova platform at Northeastern University (NU), front-ends with 20 GHz of bandwidth at a tunable center frequency between 110 and 170 GHz, 30 GHz between 210 and 240 GHz, and up to 50 GHz between 1 and 1.05 THz [273]. This limit is primarily set by the mixer at the transmitter and the receiver. Regarding the channel, and as presented in Sec. IV, the available bandwidth is significantly larger. In the sub-terahertz range, there are only two absorption peaks (at 119 GHz and 183 GHz, respectively), theoretically enabling very large transmission windows. Above 300 GHz, there are many more absorption lines, but the separation between them is still of tens and even hundreds of GHz [211]. However, it is first relevant to note that the sub-terahertz spectrum up to 275 GHz is already allocated to different services, including fixed, mobile, and satellite communications, Earth-Exploration Satellite Service (EESS), and space research [274]. Today, between 100 and 200 GHz, only 12.5 GHz of contiguous bandwidth is allocated to communication services. If sharing with space services is allowed, this value can increase to 32.5 GHz. A similar situation is found between 200 and 275 GHz. An extensive discussion on the coexistence and spectrum sharing issues at frequencies above 100 GHz is given in [275].

To be able to provide quantitative data, next, we focus on indoor WLAN and outdoor cellular applications. First, in Fig. 11, we illustrate the achievable directional gain for a fixed antenna footprint of 10 cm2 (comparable to that of a current smartphone) as a function of frequency, highlighting the ability to achieve very high gains with a very compact structure. Second, in Fig. 12, we illustrate the trade-off between antenna beamwidth or directivity and system bandwidth. More specifically, we consider a 30 meter LoS link, with a transmitter delivering 100 mW of output power and 20 dBi of antenna gain, and a receiver with a noise figure of 20 dB and an antenna gain ranging from 0 to 40 dBi.

Increasing the bandwidth while maintaining the SNR and, thus, increasing the bit rate requires a major increase in directivity gain, which requires larger radiating structures (though still compact, as per Fig. 11), leading to further near-field effects (with the challenges and opportunities that they bring, as previously discussed), and increased beam management complexity (as we elaborate later in this section). These trade-offs need to be captured when designing the physical and link layers of terahertz networks. For example, not all transmissions might need extremely high bit-rates and, thus, reducing the bandwidth can automatically relax many other requirements in the system.

Refer to caption
Figure 11: Antenna gain (blue) and beamwidth (red) as functions of frequency for a fixed antenna footprint of 10 cm2 (solid lines) and 1 m2 (dashed lines).
Refer to caption
Figure 12: Required SNR as a function of antenna gain and bandwidth, for a 30-m LoS link at 140 GHz, with a transmitter with 100 mW of output power and 20 dBi of antenna gain, and a receiver with a noise figure of 20 dB, and an antenna gain ranging from 0 to 40 dBi. The white solid lines indicate the minimum antenna gain to achieve an SNR of at least 10, 15, and 20 dBi, respectively.

Once the feasibility of the link is established, the achievable bit rate with a maximum tolerable bit error rate (BER) depends on the specific modulation technique being used, as we discuss next.

V-C2 Ultra-broadband Waveform, Modulation and Coding

The modulation techniques for terahertz signals have drastically evolved in the last decade. Due to their simplicity, the first terahertz systems considered only non-coherent modulations, such as on-off keying modulation [276] and, today, this is still one of the two physical layers supported by the only standard for terahertz systems, the IEEE 802.15.3d [28]. This early approach, together with the very large bandwidth supported by the terahertz channel, has often resulted in the misleading belief that there is no need for spectrally efficient modulations at terahertz frequencies. However, as discussed in Sec. III, current terahertz transceivers exhibit low transmit power and potentially very high amplitude and phase noise. While antenna gains play a key role in the system performance, the need for spectrally efficient modulations that can maximize the bandwidth utilization and, ultimately, reach terabits per second becomes evident.

In this direction, different strategies exist. On the one hand, one can leverage the state-of-the-art modulations in 5G and 5G advanced systems and scale them up in bandwidth. For example, while the use of OFDM might be discouraged due to its high Peak-to-Average Power Ratio (PAPR), discrete-Fourier-transform-spread OFDM (DFT-S-OFDM) can be adopted. Similarly, orthogonal time frequency space (OTFS) modulation could be utilized to compensate for the frequency offsets resulting not only from mobility in some applications but also from the phase noise of terahertz oscillators. There have been several papers thoroughly comparing these modulations [277, 278, 279]. Most recently, in [280], we have studied the joint impact of PAPR and phase noise on single and multi-carrier modulations as well as on ultra-broadband spread-spectrum techniques [281]. Based on newly developed experimentally-driven phase noise models at three different sub-terahertz and terahertz bands and introducing the concept of PAPR penalty, we have concluded that DFT-S-OFDM offers a fair trade-off for data-rate and BER.

Besides traditional modulations, new waveforms are enabled by the behavior of the terahertz channel. For example, for very short-range applications, very short pulses, just a few hundred femtoseconds long, can be utilized [189]. The power spectral density of such pulses, commonly used in sensing applications including terahertz (THz) time-domain spectroscopy (THz-TDS), spans a few THz. Their very short duration enables very high symbol rates. For longer communication distances, the molecular absorption broadening effect and the distance-dependent bandwidth discussed in Sec. IV motivate and enable new modulation techniques that facilitate the multiplexing of users in space. For example, in [282], a modulation scheme that leverages multiple absorption-defined transmission windows and dynamically allocates a different number of sub-carriers per window based on the users distance is presented. In [283], a hierarchical-bandwidth modulation scheme that multiplexes in a single stream data with different modulation orders and symbol durations is proposed as a way to transform molecular absorption into an ally to spatially multiplex users within the same transmission beam.

Finally, in terms of error control coding, much less has been done. For the time being, the only discussions on error control are focused on the nanoscale applications of the terahertz band and advocate for the use of low-weight codes, i.e., codewords with more binary zeros than ones, as a way to simultaneously minimize molecular absorption noise and multi-user interference [284, 285, 286]. New error control strategies tailored to the imperfections of terahertz hardware, including device-induced frequency selectivity and large amplitude and phase noises, and the behavior of the channel, such as the frequency selectivity of molecular absorption, are needed, all while keeping an eye towards low computational complexity, so to meet the latency requirements of 6G.

V-C3 Channel Estimation and Beam Management

As just discussed, the high gain and narrow beamwidths are crucial in enabling terahertz communications. To implement mobile sub-terahertz and terahertz wireless communications, it is necessary to implement beamforming to steer the radiated signal or to control the direction of reception while communicating with multiple transceivers [287]. Hybrid beamforming architectures that use digital beamforming at the baseband with analog beamforming at the RF are already used in today’s mmWave 5G cellphones and are anticipated to be widely utilized for THz transceivers. Digital beamforming facilitates spatial multiplexing gains, while analog beamforming–through phase shifters at the RF–offers directionality gains from the radiating antenna [288]. As per the discussion in Sec. V.A., if fully digital antenna arrays become available, both multiplexing and beamforming gains can be combined and digitally implemented.

Channel estimation is pivotal to provide the proper channel state in order for the analog and digital beamforming vectors to be adapted for proper pointing directions to maximize link gain while minimizing interference [287] for THz communication systems. Achieving optimal beamforming relies on perfect prior knowledge of the channel matrix and its singular value decomposition. Omnidirectional pilot signals are not practical in highly directional THz channels due to severe path loss and blockage, so beamforming must learn the channel to estimate the channel response and determine the best antenna beamform weightings for the current channel state.

One approach for channel estimation can involve using exhaustive beam training to explore the entire spatial search space, aiming to establish a beamforming link between transceivers. Careful codebook design can help expedite the search to identify the most suitable narrow beam pair with the highest SNR [249]. As an example, IEEE 802.11ad uses a one-side exhaustive search protocol [287, 249] whereby a user performs an exhaustive search across all beams in the codebook, while the access point transmits with an omnidirectional beam. Moreover, to reduce the training overhead and spatial search space associated with exhaustive beam training that searches over every AoD/AoA pair, adaptive channel estimation algorithms utilizing codebooks with multiple spatial resolutions can accelerate the search process. Additionally, compressed sensing-based beamforming methods can take advantage of the sparsity of multipath in the channel to obtain channel state information [289]. Deep learning-based methods leveraging convolutional neural networks for channel estimation have also demonstrated promising results, making them potential candidates for accurate THz channel estimation [290]. Lastly, less conventional antenna designs, such as leaky-wave antennas, and new array operations, such as the joint phase-time array and the frequency modulated array (FMA), which all exhibit unique frequency-AoD relationships, can be utilized to expedite neighbor discovery [291, 292, 293].

Refer to caption
Refer to caption
Figure 13: Intensity (height) and phase (color) profile of two different orbital angular momentum modes (helical Mode 1 on the left and helical Mode 2 on the right). The two modes are orthogonal and define an orthogonal basis that can be used for information modulation or multiplexing.

V-C4 Ultra-massive/XL-MIMO, Cell-free MIMO and OAM

Up to this point, it has been mostly considered that the very large antenna arrays at terahertz frequencies will be primarily leveraged to generate highly directional narrow beams and, thus, increase the SNR of a single path and, correspondingly, the modulation order it can support. However, there are scenarios where higher gains can be achieved by exploiting spatial multiplexing. Many are the works that discuss theoretical solutions for terahertz massive, ultra-massive, and XL-MIMO (e.g., [149, 294, 295, 249, 296], only recently experimental works have been conducted. In [241], we have recently experimentally demonstrated that there exists enough diversity in common indoor scenarios to support MIMO systems with channels over geometrically different paths. Building on this result and the aforementioned advantages of DFT-S-OFDM, we have designed and built a functioning MIMO system over 10 GHz of bandwidth [297].

For the cases in which the channel does not naturally support orthogonal paths, diversity can be achieved using orbital angular momentum (OAM). A beam that is said to have OAM manifests a spiral phase in the transverse direction, resulting in a helical wavefront and a phase singularity (a zero-intensity vortex) in the center. Overlapping beams that follow helical modes define an orthogonal basis (see Fig. 13). This can be leveraged in different ways: different streams can be sent along different OAM modes, each one with its own amplitude and/or phase modulation, or one stream can be sent by encoding different symbols in different OAM modes.

Lastly, it is worth mentioning that very little has been studied when it comes to distributed or cell-free massive MIMO [298] at terahertz frequencies. For example, in [299], terahertz communications are utilized to interconnect the distributed access points (APs) that implement cell-free massive MIMO, but not as the access technology itself. The main reason for this would be the extremely precise synchronization that would needed to enable any form of distributed MIMO or wavefront engineering at terahertz frequencies.

V-C5 Network-level Integration of Advanced Physical Layer Technologies

Up to this point, we have discussed the role that different advanced physical layer solutions might play at terahertz frequencies. However, there is one last aspect that we would like to highlight: the use of extremely narrow beams, the coordination among users in distributed or cell-free massive MIMO, or the orchestration of all the network resources (including the RISs discussed in Sec. III-B), can introduce significant delays, impacting the latency, the throughput and, above all, the users’ QoS or quality of experience (QoE). For example, if finding the optimal NLoS path between two users through a RIS requires even a few milliseconds, one should consider directly switching, even if temporarily, to a lower un-obstructed frequency band (e.g., sub-6 GHz) if multi-band radios are available [300]. At this stage, while many optimization frameworks have been developed at the physical layer, few solutions consider the actual end-to-end delay, including the latency introduced by the control channel, which is ultimately what the user experiences. Now it is the time to go up in the protocol stack to ensure the success and broad adoption of the terahertz band for communications [270].

VI Conclusion

This article summarizes the latest progress in the field of terahertz communications and sensing, specifically focusing on the hardware aspects (such as closing the “terahertz gap”) and the latest advancements in terahertz channel modeling. Our main conclusion is that over the last two decades, terahertz communications evolved rapidly from “futuristic vision” to “forthcoming reality”. Wireless connectivity and sensing above 100 GHz are now of great interest already within the 6G timeline (2030 onward, only five years from now). While we will likely not see the full power of terahertz radios in the first 6G releases, the principal step toward adopting the terahertz spectrum for commercial radio systems and networks has clearly been made. Still, as summarized above, there are multiple research and engineering challenges to be addressed toward enabling reliable and efficient terahertz wireless systems and networks.

References

  • [1] T. S. Rappaport et al., “Millimeter wave mobile communications for 5G cellular: It will work!” IEEE Access, vol. 1, pp. 335–349, 2013.
  • [2] R. Vannithamby and A. Soong, 5G Verticals: Customizing Applications, Technologies and Deployment Techniques.   Wiley–IEEE Press, 2020.
  • [3] T. S. Rappaport et al., “Wireless Communications and Applications Above 100 GHz: Opportunities and Challenges for 6G and Beyond,” IEEE Access, vol. 7, pp. 78 729–78 757, 2019.
  • [4] I. F. Akyildiz, A. Kak, and S. Nie, “6G and beyond: The future of wireless communications systems,” IEEE Access, vol. 8, pp. 133 995–134 030, 2020.
  • [5] M. Giordani, M. Polese, M. Mezzavilla, S. Rangan, and M. Zorzi, “Toward 6G networks: Use cases and technologies,” IEEE Communications Magazine, vol. 58, no. 3, pp. 55–61, 2020.
  • [6] W. Saad, M. Bennis, and M. Chen, “A vision of 6G wireless systems: Applications, trends, technologies, and open research problems,” IEEE network, vol. 34, no. 3, pp. 134–142, 2019.
  • [7] H. Tataria, M. Shafi, A. F. Molisch, M. Dohler, H. Sjöland, and F. Tufvesson, “6G wireless systems: Vision, requirements, challenges, insights, and opportunities,” Proceedings of the IEEE, vol. 109, no. 7, pp. 1166–1199, 2021.
  • [8] I. F. Akyildiz, J. M. Jornet, and C. Han, “Terahertz band: Next frontier for wireless communications,” Physical Communication, vol. 12, pp. 16–32, September 2014.
  • [9] Z. Chen et al., “A survey on terahertz communications,” China Communications, vol. 16, no. 2, pp. 1–35, 2019.
  • [10] Y. Xing, O. Kanhere, S. Ju, and T. S. Rappaport, “Indoor wireless channel properties at millimeter wave and sub-terahertz frequencies,” in 2019 IEEE Global Communications Conference (GLOBECOM).   IEEE, 2019, pp. 1–6.
  • [11] H. Sarieddeen, N. Saeed, T. Y. Al-Naffouri, and M.-S. Alouini, “Next generation terahertz communications: A rendezvous of sensing, imaging, and localization,” IEEE Communications Magazine, vol. 58, no. 5, pp. 69–75, 2020.
  • [12] Y. Xing and T. S. Rappaport, “Millimeter wave and terahertz urban microcell propagation measurements and models,” IEEE Communications Letters, vol. 25, no. 12, pp. 3755–3759, 2021.
  • [13] H.-J. Song and N. Lee, “Terahertz communications: Challenges in the next decade,” IEEE Transactions on Terahertz Science and Technology, vol. 12, no. 2, pp. 105–117, 2021.
  • [14] S. Ju and T. S. Rappaport, “Sub-terahertz spatial statistical MIMO channel model for urban microcells at 142 GHz,” in 2021 IEEE Global Communications Conference (GLOBECOM), 2021, pp. 1–6.
  • [15] C. Chaccour, M. N. Soorki, W. Saad, M. Bennis, P. Popovski, and M. Debbah, “Seven defining features of terahertz (THz) wireless systems: A fellowship of communication and sensing,” IEEE Communications Surveys & Tutorials, vol. 24, no. 2, pp. 967–993, 2022.
  • [16] I. F. Akyildiz, C. Han, Z. Hu, S. Nie, and J. M. Jornet, “Terahertz band communication: An old problem revisited and research directions for the next decade,” IEEE Transactions on Communications, vol. 70, no. 6, pp. 4250–4285, 2022.
  • [17] T. S. Rappaport, K. A. Remley, C. Gentile, A. F. Molisch, and A. Zajić, Radio Propagation Measurements and Channel Modeling: Best Practices for Millimeter-Wave and Sub-Terahertz Frequencies.   Cambridge University Press, 2022.
  • [18] A. Shafie, N. Yang, C. Han, J. M. Jornet, M. Juntti, and T. Kurner, “Terahertz communications for 6G and beyond wireless networks: Challenges, key advancements, and opportunities,” IEEE Network, 2022.
  • [19] T. Kurner, D. Mittleman, and T. Nagatsuma, THz Communications: Paving the Way Towards Wireless Tbps.   Springer, 2022.
  • [20] S. Abadal, M. Iannazzo, M. Nemirovsky, A. Cabellos-Aparicio, H. Lee, and E. Alarcón, “On the area and energy scalability of wireless network-on-chip: A model-based benchmarked design space exploration,” IEEE/ACM Transactions on Networking, vol. 23, no. 5, pp. 1501–1513, 2014.
  • [21] R. Guirado, A. Rahimi, G. Karunaratne, E. Alarcón, A. Sebastian, and S. Abadal, “Wireless on-chip communications for scalable in-memory hyperdimensional computing,” in 2022 International Joint Conference on Neural Networks (IJCNN).   IEEE, 2022, pp. 1–8.
  • [22] S. Sun, T. S. Rappaport, R. W. Heath, A. Nix, and S. Rangan, “MIMO for millimeter-wave wireless communications: Beamforming, spatial multiplexing, or both?” IEEE Communications Magazine, vol. 52, no. 12, pp. 110–121, 2014.
  • [23] V. Petrov, D. Moltchanov, M. Komar, A. Antonov, P. Kustarev, S. Rakheja, and Y. Koucheryavy, “Terahertz band intra-chip communications: Can wireless links scale modern x86 CPUs?” IEEE Access, vol. 5, pp. 6095–6109, 2017.
  • [24] V. Petrov, J. Kokkoniemi, D. Moltchanov, J. Lehtomäki, and Y. Koucheryavy, “Enabling simultaneous cooling and data transmission in the terahertz band for board-to-board communications,” Physical Communication, vol. 22, pp. 9–18, 2017.
  • [25] R. H. Katz, “Tech titans building boom,” IEEE Spectrum, vol. 46, no. 2, pp. 40–54, 2009.
  • [26] C.-L. Cheng, S. Sangodoyin, and A. Zajić, “THz cluster-based modeling and propagation characterization in a data center environment,” IEEE Access, vol. 8, pp. 56 544–56 558, 2020.
  • [27] J. M. Eckhardt, C. Herold, B. Friebel, N. Dreyer, and T. Kürner, “Realistic interference simulations in a data center offering wireless communication at low terahertz frequencies,” in 2021 International symposium on antennas and propagation (ISAP).   IEEE, 2021, pp. 1–2.
  • [28] V. Petrov, T. Kürner, and I. Hosako, “IEEE 802.15.3d: First standardization efforts for sub-terahertz band communications toward 6G,” IEEE Communications Magazine, vol. 58, pp. 28–33, 2020.
  • [29] Y. Amarasinghe, W. Zhang, R. Zhang, D. M. Mittleman, and J. Ma, “Scattering of terahertz waves by snow,” Journal of Infrared, Millimeter, and Terahertz Waves, vol. 41, pp. 215–224, 2020.
  • [30] P. Sen et al., “Terahertz communications can work in rain and snow: Impact of adverse weather conditions on channels at 140 GHz,” in Proceedings of the 6th ACM Workshop on Millimeter-Wave and Terahertz Networks and Sensing Systems, 2022, pp. 13–18.
  • [31] P. Li, J. Wang, L. Zhao, J. Ma, H. Sun, L. Moeller, and J. F. Federici, “Performance degradation of terahertz channels in emulated rain,” Nano Communication Networks, vol. 35, p. 100431, 2023.
  • [32] I. F. Akyildiz and J. M. Jornet, “Electromagnetic wireless nanosensor networks,” Nano Communication Networks, vol. 1, no. 1, pp. 3–19, 2010.
  • [33] J. M. Jornet and A. Sangwan, “Nanonetworking in the terahertz band and beyond,” IEEE Nanotechnology Magazine, 2023.
  • [34] I. F. Akyildiz and J. M. Jornet, “The internet of nano-things,” IEEE Wireless Communications, vol. 17, no. 6, pp. 58–63, 2010.
  • [35] K. Yang et al., “A comprehensive survey on hybrid communication in context of molecular communication and terahertz communication for body-centric nanonetworks,” IEEE Transactions on Molecular, Biological and Multi-Scale Communications, vol. 6, no. 2, pp. 107–133, 2020.
  • [36] D. M. Mittleman, “Twenty years of terahertz imaging,” Optics express, vol. 26, no. 8, pp. 9417–9431, 2018.
  • [37] G. Valušis, A. Lisauskas, H. Yuan, W. Knap, and H. G. Roskos, “Roadmap of terahertz imaging 2021,” Sensors, vol. 21, no. 12, p. 4092, 2021.
  • [38] I. V. K. Reddy, S. Elmaadawy, E. P. Furlani, and J. M. Jornet, “Photothermal effects of terahertz-band and optical electromagnetic radiation on human tissues,” Scientific Reports, vol. 13, no. 1, p. 14643, 2023.
  • [39] T. Rappaport, R. Heath, R. Daniels, and J. Murdock, Millimeter Wave Wireless Communications.   Pearson/Prentice-Hall, 2015.
  • [40] H.-J. Song, H. Hamada, and M. Yaita, “Prototype of kiosk data downloading system at 300 GHz: Design, technical feasibility, and results,” IEEE Communications Magazine, vol. 56, no. 6, pp. 130–136, 2018.
  • [41] V. Petrov, D. Moltchanov, and Y. Koucheryavy, “Applicability assessment of terahertz information showers for next-generation wireless networks,” in 2016 IEEE International Conference on Communications (ICC).   IEEE, 2016, pp. 1–7.
  • [42] V. Petrov, J. Kokkoniemi, D. Moltchanov, J. Lehtomaki, Y. Koucheryavy, and M. Juntti, “Last meter indoor terahertz wireless access: Performance insights and implementation roadmap,” IEEE Communications Magazine, vol. 56, no. 6, pp. 158–165, 2018.
  • [43] M. Gapeyenko, V. Petrov, S. Paris, A. Marcano, and K. I. Pedersen, “Standardization of extended reality (XR) over 5G and 5G-Advanced 3GPP New Radio,” IEEE Network, vol. 37, no. 4, pp. 22–28, 2023.
  • [44] J. M. Jornet et al., “Optogenomic interfaces: Bridging biological networks with the electronic digital world,” Proceedings of the IEEE, vol. 107, no. 7, pp. 1387–1401, 2019.
  • [45] P. Sen, J. V. Siles, N. Thawdar, and J. M. Jornet, “Multi-kilometre and multi-gigabit-per-second sub-terahertz communications for wireless backhaul applications,” Nature Electronics, vol. 6, no. 2, pp. 164–175, 2023.
  • [46] A.-A. A. Boulogeorgos, J. M. Jornet, and A. Alexiou, “Directional terahertz communication systems for 6G: Fact check,” IEEE Vehicular Technology Magazine, vol. 16, no. 4, pp. 68–77, 2021.
  • [47] D. Morales and J. M. Jornet, “ADAPT: An adaptive directional antenna protocol for medium access control in terahertz communication networks,” Ad Hoc Networks, vol. 119, p. 102540, 2021.
  • [48] Q. Xia and J. M. Jornet, “Expedited neighbor discovery in directional terahertz communication networks enhanced by antenna side-lobe information,” IEEE Transactions on Vehicular Technology, vol. 68, no. 8, pp. 7804–7814, 2019.
  • [49] ——, “Routing protocol design for directional and buffer-limited terahertz communication networks,” in ICC 2020-2020 IEEE International Conference on Communications (ICC).   IEEE, 2020, pp. 1–7.
  • [50] F. Norouzian, E. Hoare, E. Marchetti, M. Cherniakov, and M. Gashinova, “Next generation, low-THz automotive radar–the potential for frequencies above 100 GHz,” in 2019 20th International Radar Symposium (IRS).   IEEE, 2019, pp. 1–7.
  • [51] S. Jiang, G. Charan, and A. Alkhateeb, “LiDAR aided future beam prediction in real-world millimeter wave V2I communications,” IEEE Wireless Communications Letters, vol. 12, no. 2, pp. 212–216, 2022.
  • [52] A. Vasilyev, N. Satyan, G. Rakuljic, and A. Yariv, “Terahertz chirp generation using frequency stitched VCSELs for increased LIDAR resolution,” in CLEO: Science and Innovations.   Optica Publishing Group, 2012, pp. CF3C–1.
  • [53] O. Kanhere, A. Chopra, A. Thornburg, T. S. Rappaport, and S. S. Ghassemzadeh, “Performance impact analysis of beam switching in millimeter wave vehicular communications,” in 2021 IEEE 93rd Vehicular Technology Conference (VTC2021-Spring), 2021, pp. 1–7.
  • [54] K. Guan et al., “Measurement, simulation, and characterization of train-to-infrastructure inside-station channel at the terahertz band,” IEEE transactions on terahertz science and technology, vol. 9, no. 3, pp. 291–306, 2019.
  • [55] V. Petrov et al., “On unified vehicular communications and radar sensing in millimeter-wave and low terahertz bands,” IEEE Wireless Communications, vol. 26, no. 3, pp. 146–153, 2019.
  • [56] W. Xia, V. Semkin, M. Mezzavilla, G. Loianno, and S. Rangan, “Multi-array designs for mmwave and sub-thz communication to uavs,” in 2020 IEEE 21st International Workshop on Signal Processing Advances in Wireless Communications (SPAWC), 2020, pp. 1–5.
  • [57] J. V. Siles, K. B. Cooper, C. Lee, R. H. Lin, G. Chattopadhyay, and I. Mehdi, “A new generation of room-temperature frequency-multiplied sources with up to 10×\times× higher output power in the 160-GHz–1.6-THz range,” IEEE Transactions on Terahertz Science and Technology, vol. 8, no. 6, pp. 596–604, 2018.
  • [58] P. H. Siegel, “THz instruments for space,” IEEE Transactions on Antennas and Propagation, vol. 55, no. 11, pp. 2957–2965, 2007.
  • [59] H. Wang et al., “Power amplifiers performance survey 2000-present,” https://ideas.ethz.ch/Surveys/pa-survey.html, 2023, accessed: 2023-12-31.
  • [60] A. J. Alqaraghuli, H. Abdellatif, and J. M. Jornet, “Performance Analysis of a Dual Terahertz/Ka Band Communication System for Satellite Mega-Constellations,” in IEEE 22nd International Symposium on a World of Wireless, Mobile and Multimedia Networks (WoWMoM), June 2021, pp. 316–322.
  • [61] Z. Yang, W. Gao, and C. Han, “A universal attenuation model of terahertz wave in space-air-ground channel medium,” IEEE Open Journal of the Communications Society, vol. 5, pp. 2333–2342, 2024.
  • [62] A. J. Alqaraghuli, J. V. Siles, and J. M. Jornet, “The road to high data rates in space: Terahertz vs. optical wireless communication,” IEEE Aerospace and Electronic Systems Magazine, 2023.
  • [63] W. Gao, C. Han, and Z. Chen, “Attenuation and loss of spatial coherence modeling for atmospheric turbulence in terahertz UAV MIMO channels,” IEEE Transactions on Wireless Communications, pp. 1–1, 2024.
  • [64] S. Aliaga, V. Petrov, and J. M. Jornet, “Modeling interference from millimeter wave and terahertz bands cross-links in low earth orbit satellite networks for 6G and beyond,” IEEE Journal on Selected Areas in Communications, pp. 1–1, 2024.
  • [65] Z. Popovic and E. N. Grossman, “THz metrology and instrumentation,” IEEE Transactions on Terahertz Science and Technology, vol. 1, no. 1, pp. 133–144, 2011.
  • [66] D. S. et al., “Exploring millimeter-wave and terahertz circuits and systems with a novel multiuser measurement facility,” IEEE Microwave Magazine (Accepted), 2023.
  • [67] D. M. Pozar, Microwave engineering.   John wiley & sons, 2011.
  • [68] H. Bameri and O. Momeni, “A high-gain mm-wave amplifier design: An analytical approach to power gain boosting,” IEEE Journal of Solid-State Circuits, vol. 52, no. 2, pp. 357–370, 2017.
  • [69] D.-W. Park, D. R. Utomo, B. H. Lam, S.-G. Lee, and J.-P. Hong, “A 230–260-GHz wideband and high-gain amplifier in 65-nm CMOS based on dual-peak gmaxsubscript𝑔𝑚𝑎𝑥g_{max}italic_g start_POSTSUBSCRIPT italic_m italic_a italic_x end_POSTSUBSCRIPT-core,” IEEE Journal of Solid-State Circuits, vol. 54, no. 6, pp. 1613–1623, 2019.
  • [70] X. Tang, J. Nguyen, G. Mangraviti, Z. Zong, and P. Wambacq, “A 140 GHz t/r front-end module in 22 nm fd-soi cmos,” in 2021 IEEE Radio Frequency Integrated Circuits Symposium (RFIC).   IEEE, 2021, pp. 35–38.
  • [71] G. De Filippi, L. Piotto, A. Bilato, and A. Mazzanti, “A SiGe BiCMOS D-band LNA with gain boosted by local feedback in common-emitter transistors,” in 2023 IEEE Radio Frequency Integrated Circuits Symposium (RFIC).   IEEE, 2023, pp. 133–136.
  • [72] E. Johnson, “Physical limitations on frequency and power parameters of transistors,” in 1958 IRE International Convention Record, vol. 13.   IEEE, 1966, pp. 27–34.
  • [73] H. Wang, Y. Liu, and N. S. Mannem, “Phased array survey 2010-present,” https://ideas.ethz.ch/Surveys/phased-array-survey.html, 2023, accessed: 2023-12-31.
  • [74] J. Kim, C.-G. Choi, K. Lee, K. Kim, S.-U. Choi, and H.-J. Song, “A broadband D-band dual-peak gmaxsubscript𝑔𝑚𝑎𝑥g_{max}italic_g start_POSTSUBSCRIPT italic_m italic_a italic_x end_POSTSUBSCRIPT-core amplifier with a t-shaped embedding network in cmos,” IEEE Transactions on Microwave Theory and Techniques, 2023.
  • [75] S. Li and G. M. Rebeiz, “High efficiency D-band multiway power combined amplifiers with 17.5–19-dBm psat and 14.2–12.1% peak PAE in 45-nm CMOS RFSOI,” IEEE Journal of Solid-State Circuits, vol. 57, no. 5, pp. 1332–1343, 2022.
  • [76] P. Hetterle, A. Engelmann, F. Probst, R. Weigel, and M. Dietz, “Design of a low voltage D-band LNA in 22 nm FDSOI,” in 2022 17th European Microwave Integrated Circuits Conference (EuMIC).   IEEE, 2022, pp. 252–255.
  • [77] C. J. Lee, H. Nam, D. Kim, S.-K. Kim, and D. Y. Lee, “A D-band variable gain low noise amplifier in a 28 nm CMOS process for 6G wireless communications,” IEEE Transactions on Circuits and Systems II: Express Briefs, 2023.
  • [78] H. Lee, B. Yun, H. Jeon, W. Keum, S.-G. Lee, and K.-S. Choi, “A D-band wideband low-noise amplifier adopting pseudo-simultaneous noise and input matched dual-peak gmaxsubscript𝑔𝑚𝑎𝑥g_{max}italic_g start_POSTSUBSCRIPT italic_m italic_a italic_x end_POSTSUBSCRIPT-core,” IEEE Transactions on Microwave Theory and Techniques, 2023.
  • [79] B. Yun, D.-W. Park, H. U. Mahmood, D. Kim, and S.-G. Lee, “A D-band high-gain and low-power LNA in 65-nm CMOS by adopting simultaneous noise-and input-matched G max-core,” IEEE Transactions on Microwave Theory and Techniques, vol. 69, no. 5, pp. 2519–2530, 2021.
  • [80] S. Callender et al., “A fully integrated 160-gb/s D-band transmitter achieving 1.1-pJ/b efficiency in 22-nm FinFET,” IEEE Journal of Solid-State Circuits, vol. 57, no. 12, pp. 3582–3598, 2022.
  • [81] A. Agrawal et al., “A 128-gb/s d𝑑ditalic_d-band receiver with integrated pll and adc achieving 1.95-pj/b efficiency in 22-nm finfet,” IEEE Journal of Solid-State Circuits, 2023.
  • [82] J.-H. Park, D.-Y. Yang, K.-J. Choi, and B.-S. Kim, “D-band×\times× 8 frequency multiplier using complementary differential frequency doubler,” IEEE Microwave and Wireless Technology Letters, vol. 33, no. 3, pp. 311–314, 2022.
  • [83] M. Möck, İ. K. Aksoyak, and A. Ç. Ulusoy, “A high-efficiency D-band frequency doubler in 22-nm FDSOI CMOS,” in 2022 17th European Microwave Integrated Circuits Conference (EuMIC).   IEEE, 2022, pp. 272–275.
  • [84] S. Park et al., “A D-band low-power and high-efficiency frequency multiply-by-9 fmcw radar transmitter in 28-nm cmos,” IEEE Journal of Solid-State Circuits, vol. 57, no. 7, pp. 2114–2129, 2022.
  • [85] E. Chou, N. Baniasadi, H. Beshary, M. Wei, E. Naviasky, L. Iotti, and A. Niknejad, “A low-power and energy-efficient D-Band CMOS four-channel receiver with integrated lo generation for digital beamforming arrays,” in ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC).   IEEE, 2022, pp. 489–492.
  • [86] A. Hamani et al., “A 108-Gb/s 64-QAM CMOS D-band Rx with integrated LO generation,” IEEE Solid-State Circuits Letters, vol. 3, pp. 202–205, 2020.
  • [87] S. Li, Z. Zhang, B. Rupakula, and G. M. Rebeiz, “An eight-element 140-GHz wafer-scale IF beamforming phased-array receiver with 64-QAM operation in CMOS RFSOI,” IEEE Journal of Solid-State Circuits, vol. 57, no. 2, pp. 385–399, 2021.
  • [88] C. Wang and G. Rebeiz, “A 2-channel 136-156 GHz dual down-conversion I/Q receiver with 30 dB gain and 9.5 dB NF using CMOS 22nm FDSOI,” in 2021 IEEE Radio Frequency Integrated Circuits Symposium (RFIC).   IEEE, 2021, pp. 219–222.
  • [89] A. Hamani et al., “A 84.48-Gb/s 64-QAM CMOS D-band channel-bonding Tx front-end with integrated multi-LO frequency generation,” IEEE Solid-State Circuits Letters, vol. 3, pp. 346–349, 2020.
  • [90] ——, “A D-band multichannel TX system-in-package achieving 84.48 Gb/s with 64-QAM based on 45-nm CMOS and low-cost PCB technology,” IEEE Transactions on Microwave Theory and Techniques, vol. 70, no. 7, pp. 3385–3395, 2022.
  • [91] S. Li, Z. Zhang, and G. M. Rebeiz, “An eight-element 136–147 GHz wafer-scale phased-array transmitter with 32 dbm peak EIRP and> 16 Gbps 16QAM and 64QAM operation,” IEEE Journal of Solid-State Circuits, vol. 57, no. 6, pp. 1635–1648, 2022.
  • [92] J. L. González-Jiménez et al., “A D-band transmitter achieving 57.6-gb/s and 30-dbm eirp based on channel-aggregation 45-nm ics and a low-profile flat lens antenna,” IEEE Transactions on Microwave Theory and Techniques, 2023.
  • [93] K. Sengupta, T. Nagatsuma, and D. M. Mittleman, “Terahertz integrated electronic and hybrid electronic–photonic systems,” Nature Electronics, vol. 1, no. 12, p. 622, 2018.
  • [94] W. R. Deal, K. Leong, A. Zamora, B. Gorospe, K. Nguyen, and X. B. Mei, “A 660 GHz up-converter for THz communications,” in 2017 IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS).   IEEE, 2017, pp. 1–4.
  • [95] E. D. Cohen, “The MIMIC program—a retrospective,” IEEE Microwave Magazine, vol. 13, no. 4, pp. 77–88, 2012.
  • [96] J. J. Sowers, D. J. Pritchard, A. E. White, W. Kong, O. Tang, D. R. Tanner, and K. Jablinskey, “A 36 W, V-band, solid state source,” in 1999 IEEE MTT-S International Microwave Symposium Digest (Cat. No. 99CH36282), vol. 1.   IEEE, 1999, pp. 235–238.
  • [97] K. Shinohara et al., “Scaling of GaN HEMTs and schottky diodes for submillimeter-wave MMIC applications,” IEEE Transactions on Electron Devices, vol. 60, no. 10, pp. 2982–2996, 2013.
  • [98] M. Božanić and S. Sinha, “Emerging transistor technologies capable of terahertz amplification: A way to re-engineer terahertz radar sensors,” Sensors, vol. 19, no. 11, p. 2454, 2019.
  • [99] K. Felch et al., “Operating experience on six 110 GHz, 1 MW gyrotrons for ECH applications,” Nuclear fusion, vol. 48, no. 5, p. 054008, 2008.
  • [100] S. S. M. Roberg, S. Chen, “Qorvo millimeter wave GaN MMIC processes, circuits & integration technology,” European Microwave Week, vol. Workshop on mm-wave GaN MMICs, 2022.
  • [101] D. Denninghoff, “High-efficiency GaN MMIC technologies for W-band applications and beyond,” European Microwave Week, vol. Workshop on mm-wave GaN MMICs, 2022.
  • [102] F. Thome, E. Ture, P. Brückner, R. Quay, and O. Ambacher, “W-band SPDT switches in planar and tri-gate 100-nm gate-length GaN-HEMT technology,” in 2018 11th German Microwave Conference (GeMiC), 2018, pp. 331–334.
  • [103] M. Rocchi, “100,60 and 40 nm GaN/Si power amplifiers to complement si solutions for 5G and 6G applications,” European Microwave Week, vol. Workshop on mm-wave GaN MMICs, 2022.
  • [104] K. Brown et al., “7kW GaN W-band transmitter,” in 2016 IEEE MTT-S International Microwave Symposium (IMS), 2016, pp. 1–3.
  • [105] R. Weber, M. Cwiklinski, S. Wagner, R. Lozar, H. Massler, P. Brückner, and R. Quay, “A beyond 110 GHz GaN cascode low-noise amplifier with 20.3 dBm output power,” in 2018 IEEE/MTT-S International Microwave Symposium - IMS, 2018, pp. 1499–1502.
  • [106] F. Thome, P. Neininger, D. Schwantuschke, and R. Quay, “Broadband operation of GaN mm-Wave MMICs,” European Microwave Week, vol. Workshop on mm-wave GaN MMICs, 2022.
  • [107] T. Sonnenberg, A. Romano, S. Verploegh, M. Pinto, and Z. Popović, “v𝑣vitalic_v-and w𝑤witalic_w-band millimeter-wave gan mmics,” IEEE Journal of Microwaves, vol. 3, no. 1, pp. 453–465, 2022.
  • [108] K. M. Leong et al., “850 GHz receiver and transmitter front-ends using inp hemt,” IEEE Transactions on Terahertz Science and Technology, vol. 7, no. 4, pp. 466–475, 2017.
  • [109] C. M. Cooke et al., “A 670 GHz integrated inp hemt direct-detection receiver for the tropospheric water and cloud ice instrument,” IEEE Transactions on Terahertz Science and Technology, vol. 11, no. 5, pp. 566–576, 2021.
  • [110] G. Chattopadhyay et al., “A 340 GHz cryogenic amplifier based spectrometer for space based atmospheric science applications,” in 2017 42nd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz).   IEEE, 2017, pp. 1–2.
  • [111] T. Sonnenberg, T. Romano, S. Verploegh, and Z. Popović, “V-and w-band gan mmic switches,” in 2023 18th European Microwave Integrated Circuits Conference (EuMIC).   IEEE, 2023, pp. 257–260.
  • [112] A. Romano, T. Sonnenberg, and Z. Popović, “50–110-GHz continuous gan mmic reflective phase shifters,” IEEE Transactions on Microwave Theory and Techniques, 2023.
  • [113] T. Sonnenberg, S. Verploegh, M. Pinto, and Z. Popović, “w𝑤witalic_w-band gan hemt frequency multipliers,” IEEE Transactions on Microwave Theory and Techniques, 2023.
  • [114] A. Romano, T. Sonnenberg, L. Marzall, and Z. Popovic, “V-through w-band gan active circulator,” in 2023 18th European Microwave Integrated Circuits Conference (EuMIC).   IEEE, 2023, pp. 1–4.
  • [115] A. Romano, T. Sonnenberg, and Z. Popović, “46–102 GHz gan balanced cascode amplifier-isolator,” in 2023 IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium (BCICTS).   IEEE, 2023, pp. 211–214.
  • [116] I. Mehdi, J. V. Siles, C. Lee, and E. Schlecht, “THz diode technology: Status, prospects, and applications,” Proceedings of the IEEE, vol. 105, no. 6, pp. 990–1007, 2017.
  • [117] R. Kazarinov, “Possibility of amplification of electromagnetic waves in a semiconductor with superlattice,” Sov. Phys.-Semicond., vol. 5, no. 4, pp. 707–709, 1971.
  • [118] B. S. Williams, S. Kumar, Q. Hu, and J. L. Reno, “High-power terahertz quantum cascade lasers,” in 2006 Conference on Lasers and Electro-Optics and 2006 Quantum Electronics and Laser Science Conference.   IEEE, 2006, pp. 1–2.
  • [119] C. Sirtori, S. Barbieri, and S. Laurent, “Amplitude modulation and stabilization of quantum-cascade lasers,” SPIE Newsroom, 2010.
  • [120] J. A. Fülöp, S. Tzortzakis, and T. Kampfrath, “Laser-driven strong-field terahertz sources,” Advanced Optical Materials, vol. 8, no. 3, p. 1900681, 2020.
  • [121] A. Stohr and D. Jdger, “Photonic millimeter-wave and terahertz source technologies,” in 2006 International Topical Meeting on Microwave Photonics.   IEEE, 2006, pp. 1–4.
  • [122] N. M. Burford and M. O. El-Shenawee, “Review of terahertz photoconductive antenna technology,” Optical Engineering, vol. 56, no. 1, p. 010901, 2017.
  • [123] C. W. Berry, M. R. Hashemi, and M. Jarrahi, “Generation of high power pulsed terahertz radiation using a plasmonic photoconductive emitter array with logarithmic spiral antennas,” Applied Physics Letters, vol. 104, no. 8, p. 081122, 2014.
  • [124] M. Dyakonov and M. Shur, “Shallow water analogy for a ballistic field effect transistor: New mechanism of plasma wave generation by DC current,” Physical review letters, vol. 71, no. 15, p. 2465, 1993.
  • [125] S. A. Mikhailov, “Plasma instability and amplification of electromagnetic waves in low-dimensional electron systems,” Physical Review B, vol. 58, no. 3, p. 1517, 1998.
  • [126] W. Knap, J. Lusakowski, T. Parenty, S. Bollaert, A. Cappy, V. Popov, and M. Shur, “Terahertz emission by plasma waves in 60 nm gate high electron mobility transistors,” Applied Physics Letters, vol. 84, no. 13, pp. 2331–2333, 2004.
  • [127] V. Ryzhii, A. Satou, and M. S. Shur, “Transit-time mechanism of plasma instability in high electron mobility transistors,” physica status solidi (a), vol. 202, no. 10, pp. R113–R115, 2005.
  • [128] A. S. Petrov, D. Svintsov, V. Ryzhii, and M. S. Shur, “Amplified-reflection plasmon instabilities in grating-gate plasmonic crystals,” Physical Review B, vol. 95, no. 4, p. 045405, 2017.
  • [129] M. Nafari and J. M. Jornet, “Modeling and performance analysis of metallic plasmonic nano-antennas for wireless optical communication in nanonetworks,” IEEE Access, vol. 5, pp. 6389–6398, 2017.
  • [130] J. Crabb, X. Cantos-Roman, J. M. Jornet, and G. R. Aizin, “Hydrodynamic theory of the Dyakonov-Shur instability in graphene transistors,” Physical Review B, vol. 104, no. 15, p. 155440, 2021.
  • [131] G. Aizin, J. Mikalopas, and M. Shur, “Plasma instability and amplified mode switching effect in THz field effect transistors with a grating gate,” Physical Review B, vol. 107, no. 24, p. 245424, 2023.
  • [132] J. Crabb, X. Cantos-Roman, G. R. Aizin, and J. M. Jornet, “Amplitude and frequency modulation with an on-chip graphene-based plasmonic terahertz nanogenerator,” IEEE Transactions on Nanotechnology, vol. 21, pp. 539–546, 2022.
  • [133] P. K. Singh, G. Aizin, N. Thawdar, M. Medley, and J. M. Jornet, “Graphene-based plasmonic phase modulator for terahertz-band communication,” in Proc. of the 10th European Conference on Antennas and Propagation (EuCAP).   IEEE, 2016, pp. 1–5.
  • [134] J. O. Island et al., “On-chip terahertz modulation and emission with integrated graphene junctions,” Applied Physics Letters, vol. 116, no. 16, 2020.
  • [135] P. Gopalan and B. Sensale-Rodriguez, “2D materials for terahertz modulation,” Advanced Optical Materials, vol. 8, no. 3, p. 1900550, 2020.
  • [136] A. N. Grigorenko, M. Polini, and K. Novoselov, “Graphene plasmonics,” Nature photonics, vol. 6, no. 11, pp. 749–758, 2012.
  • [137] A. Singh, M. Andrello, N. Thawdar, and J. M. Jornet, “Design and operation of a graphene-based plasmonic nano-antenna array for communication in the terahertz band,” IEEE Journal on Selected Areas in Communications, vol. 38, no. 9, pp. 2104–2117, 2020.
  • [138] M. S. Shur, “Terahertz plasmonic technology,” IEEE Sensors Journal, vol. 21, no. 11, pp. 12 752–12 763, 2020.
  • [139] C. Lin and G. Y. L. Li, “Terahertz communications: An array-of-subarrays solution,” IEEE Communications Magazine, vol. 54, no. 12, pp. 124–131, 2016.
  • [140] S. Abu-Surra et al., “End-to-end 140 GHz wireless link demonstration with fully-digital beamformed system,” in 2021 IEEE International Conference on Communications Workshops (ICC Workshops).   IEEE, 2021, pp. 1–6.
  • [141] J. Durnin, “Exact solutions for nondiffracting beams. I. The scalar theory,” JOSA A, vol. 4, no. 4, pp. 651–654, April 1987.
  • [142] J. Durnin, J. Miceli, and J. H. Eberly, “Comparison of bessel and gaussian beams,” Optics letters, vol. 13, no. 2, pp. 79–80, 1988.
  • [143] G. Siviloglou et al., “Observation of accelerating Airy beams,” Phys. Review Letters, vol. 99, no. 21, p. 213901, Nov. 2007.
  • [144] H. Tao, A. Strikwerda, K. Fan, W. Padilla, X. Zhang, and R. Averitt, “Reconfigurable terahertz metamaterials,” Physical review letters, vol. 103, no. 14, p. 147401, 2009.
  • [145] J. M. Jornet and I. F. Akyildiz, “Graphene-based nano-antennas for electromagnetic nanocommunications in the terahertz band,” in Proceedings of the Fourth European Conference on Antennas and Propagation.   IEEE, 2010, pp. 1–5.
  • [146] M. Tamagnone, J. Gomez-Diaz, J. R. Mosig, and J. Perruisseau-Carrier, “Reconfigurable terahertz plasmonic antenna concept using a graphene stack,” Applied Physics Letters, vol. 101, no. 21, 2012.
  • [147] J. M. Jornet and I. F. Akyildiz, “Graphene-based plasmonic nano-antenna for terahertz band communication in nanonetworks,” IEEE Journal on selected areas in communications, vol. 31, no. 12, pp. 685–694, 2013, U.S. Patent No. 9,643,841, May 9, 2017 (Priority Date: Dec. 6, 2013).
  • [148] T. Gric, A. Gorodetsky, A. Trofimov, and E. Rafailov, “Tunable plasmonic properties and absorption enhancement in terahertz photoconductive antenna based on optimized plasmonic nanostructures,” Journal of Infrared, Millimeter, and Terahertz Waves, vol. 39, pp. 1028–1038, 2018.
  • [149] I. F. Akyildiz and J. M. Jornet, “Realizing ultra-massive MIMO (1024×1024)10241024(1024\times 1024)( 1024 × 1024 ) communication in the (0.06–10) terahertz band,” Nano Communication Networks, vol. 8, pp. 46–54, 2016, U.S. Patent No. 9,825,712, November 21, 2017 (Priority Date: Dec. 6, 2013).
  • [150] N. T. Yardimci and M. Jarrahi, “High sensitivity terahertz detection through large-area plasmonic nano-antenna arrays,” Scientific reports, vol. 7, no. 1, p. 42667, 2017.
  • [151] S. E. Hosseininejad, K. Rouhi, M. Neshat, A. Cabellos-Aparicio, S. Abadal, and E. Alarcón, “Digital metasurface based on graphene: an application to beam steering in terahertz plasmonic antennas,” IEEE Transactions on Nanotechnology, vol. 18, pp. 734–746, 2019.
  • [152] A. Singh, A. J. Alqaraghuli, and J. M. Jornet, “Wavefront engineering at terahertz frequencies through intelligent reflecting surfaces,” in 2022 IEEE 23rd International Workshop on Signal Processing Advances in Wireless Communication (SPAWC).   IEEE, 2022, pp. 1–5.
  • [153] Q. Wu, S. Zhang, B. Zheng, C. You, and R. Zhang, “Intelligent reflecting surface-aided wireless communications: A tutorial,” IEEE Transactions on Communications, vol. 69, no. 5, pp. 3313–3351, 2021.
  • [154] Y. Liu, X. Liu, X. Mu, T. Hou, J. Xu, M. Di Renzo, and N. Al-Dhahir, “Reconfigurable intelligent surfaces: Principles and opportunities,” IEEE Communications Surveys & Tutorials, vol. 23, no. 3, pp. 1546–1577, 2021.
  • [155] C. Huang et al., “Holographic MIMO surfaces for 6G wireless networks: Opportunities, challenges, and trends,” IEEE Wireless Communications, vol. 27, no. 5, pp. 118–125, 2020.
  • [156] X. Yu, D. Xu, and R. Schober, “Miso wireless communication systems via intelligent reflecting surfaces : (invited paper),” in 2019 IEEE/CIC International Conference on Communications in China (ICCC), 2019, pp. 735–740.
  • [157] L. Yang, Y. Yang, M. O. Hasna, and M.-S. Alouini, “Coverage, Probability of SNR Gain, and DOR Analysis of RIS-Aided Communication Systems,” IEEE Wireless Communications Letters, vol. 9, no. 8, pp. 1268–1272, 2020.
  • [158] B. Di, H. Zhang, L. Song, Y. Li, Z. Han, and H. V. Poor, “Hybrid beamforming for reconfigurable intelligent surface based multi-user communications: Achievable rates with limited discrete phase shifts,” IEEE Journal on Selected Areas in Communications, vol. 38, no. 8, pp. 1809–1822, 2020.
  • [159] A. Almohamad, A. M. Tahir, A. Al-Kababji, H. M. Furqan, T. Khattab, M. O. Hasna, and H. Arslan, “Smart and secure wireless communications via reflecting intelligent surfaces: A short survey,” IEEE Open Journal of the Communications Society, vol. 1, pp. 1442–1456, 2020.
  • [160] S. Nie and I. F. Akyildiz, “Beamforming in intelligent environments based on ultra-massive MIMO platforms in millimeter wave and terahertz bands,” in ICASSP 2020-2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).   IEEE, 2020, pp. 8683–8687.
  • [161] W. Hao, F. Zhou, M. Zeng, O. A. Dobre, and N. Al-Dhahir, “Ultra wideband THz IRS communications: Applications, challenges, key techniques, and research opportunities,” IEEE Network, vol. 36, no. 6, pp. 214–220, 2022.
  • [162] Z. Chen, B. Ning, C. Han, Z. Tian, and S. Li, “Intelligent reflecting surface assisted terahertz communications toward 6g,” IEEE Wireless Communications, vol. 28, no. 6, pp. 110–117, 2021.
  • [163] C. Liaskos, S. Nie, A. Tsioliaridou, A. Pitsillides, S. Ioannidis, and I. Akyildiz, “A new wireless communication paradigm through software-controlled metasurfaces,” IEEE Communications Magazine, vol. 56, no. 9, pp. 162–169, 2018.
  • [164] F. Lan et al., “Real-time programmable metasurface for terahertz multifunctional wave front engineering,” Light: Science & Applications, vol. 12, no. 1, p. 191, 2023.
  • [165] A. Singh, M. Andrello, E. Einarsson, N. Thawdarl, and J. M. Jornet, “A hybrid intelligent reflecting surface with graphene-based control elements for THz communications,” in 2020 IEEE 21st International Workshop on Signal Processing Advances in Wireless Communications (SPAWC).   IEEE, 2020, pp. 1–5.
  • [166] H. Taghvaee et al., “Multiwideband terahertz communications via tunable graphene-based metasurfaces in 6G networks: Graphene enables ultimate multiwideband THz wavefront control,” IEEE vehicular technology magazine, vol. 17, no. 2, pp. 16–25, 2022.
  • [167] S. Ju and T. S. Rappaport, “142 GHz multipath propagation measurements and path loss channel modeling in factory buildings,” in IEEE ICC, 2023, pp. 1–6.
  • [168] S. Badran, A. Singh, A. Jaiswal, E. Einarsson, and J. M. Jornet, “Design and validation of a metallic reflectarray for communications at true terahertz frequencies,” Proc. of the 7th ACM Workshop on Millimeter-Wave and Terahertz Networks and Sensing Systems (mmNets), 2023.
  • [169] C. A. Balanis, Antenna theory: analysis and design.   John Wiley & Sons, 2016.
  • [170] A. Singh, V. Petrov, H. Guerboukha, I. V. Reddy, E. W. Knightly, D. M. Mittleman, and J. M. Jornet, “Wavefront engineering: Realizing efficient terahertz band communications in 6G and beyond,” IEEE Wireless Communications, pp. 1–7, 2023.
  • [171] V. Ariyarathna, A. Madanayake, and J. M. Jornet, “Toward real-time software-defined radios for ultrabroadband communication above 100 GHz [application notes],” IEEE Microwave Magazine, vol. 24, no. 8, pp. 50–59, 2023.
  • [172] H. Abdellatif, V. Ariyarathna, S. Petrushkevich, A. Madanayake, and J. M. Jornet, “A real-time ultra-broadband software-defined radio platform for terahertz communications,” in IEEE INFOCOM 2022-IEEE Conference on Computer Communications Workshops (INFOCOM WKSHPS).   IEEE, 2022, pp. 1–2.
  • [173] M. Matinmikko-Blue, “Research issues for sustainable wireless networks: A stakeholder approach,” in 2022 20th International Symposium on Modeling and Optimization in Mobile, Ad hoc, and Wireless Networks (WiOpt).   IEEE, 2022, pp. 372–376.
  • [174] B. Hoefflinger, “High-performance computing trends,” in NANO-CHIPS 2030: On-Chip AI for an Efficient Data-Driven World.   Springer, 2020, pp. 269–273.
  • [175] O. Kanhere, H. Poddar, Y. Xing, D. Shakya, S. Ju, and T. S. Rappaport, “A power efficiency metric for comparing energy consumption in future wireless networks in the millimeter-wave and terahertz bands,” IEEE Wireless Communications, vol. 29, no. 6, pp. 56–63, 2022.
  • [176] M. Ying, D. Shakya, H. Poddar, and T. S. Rappaport, “Waste factor: A new metric for evaluating power efficiency in any cascade,” in GLOBECOM 2023 - 2023 IEEE Global Communications Conference, 2023, pp. 1–6.
  • [177] H. T. Friis, “Noise figures of radio receivers,” Proceedings of the IRE, vol. 32, no. 7, pp. 419–422, 1944.
  • [178] T. Rappaport, M. Ying, and D. Shakya, “Waste figure and waste factor: New metrics for evaluating power efficiency in any circuit or cascade,” Microwave Journal, May 2024.
  • [179] T. R. et al, “Waste factor and waste figure: A unified theory for modeling and analyzing wasted power in radio access networks for improved sustainability,” submitted to IEEE Open Journal of Communications, May 2024.
  • [180] J. N. Murdock and T. S. Rappaport, “Consumption factor and power-efficiency factor: A theory for evaluating the energy efficiency of cascaded communication systems,” IEEE Journal on Selected Areas in Communications, vol. 32, no. 2, pp. 221–236, 2013.
  • [181] C. Han, Y. Wang, Y. Li, Y. Chen, N. A. Abbasi, T. Kürner, and A. F. Molisch, “Terahertz wireless channels: A holistic survey on measurement, modeling, and analysis,” IEEE Communications Surveys & Tutorials, vol. 24, no. 3, pp. 1670–1707, 2022.
  • [182] A. Ghosh and M. Kim, “THz channel sounding and modeling techniques: An overview,” IEEE Access, vol. 11, pp. 17 823–17 856, 2023.
  • [183] S. Sun, G. R. MacCartney, M. K. Samimi, and T. S. Rappaport, “Synthesizing omnidirectional antenna patterns, received power and path loss from directional antennas for 5g millimeter-wave communications,” in 2015 IEEE Global Communications Conference (GLOBECOM).   IEEE, 2015, pp. 1–7.
  • [184] T. S. Rappaport, Y. Qiao, J. I. Tamir, J. N. Murdock, and E. Ben-Dor, “Cellular broadband millimeter wave propagation and angle of arrival for adaptive beam steering systems,” in 2012 IEEE Radio and Wireless Symposium.   IEEE, 2012, pp. 151–154.
  • [185] M. K. Samimi and T. S. Rappaport, “Statistical channel model with multi-frequency and arbitrary antenna beamwidth for millimeter-wave outdoor communications,” in 2015 IEEE Globecom Workshops (GC Wkshps).   IEEE, 2015, pp. 1–7.
  • [186] Y. Xing, T. S. Rappaport, and A. Ghosh, “Millimeter wave and sub-thz indoor radio propagation channel measurements, models, and comparisons in an office environment,” IEEE Communications Letters, vol. 25, no. 10, pp. 3151–3155, 2021.
  • [187] T. S. Rappaport, Wireless communications: Principles and practice, 2/E.   NJ Prentice Hall, 2002.
  • [188] T. Kurner, R. Piesiewicz, M. Koch, and J. Schoebel, “Propagation models, measurements and simulations for wireless communication systems beyond 100 GHz,” in 2007 International Conference on Electromagnetics in Advanced Applications.   IEEE, 2007, pp. 108–111.
  • [189] J. M. Jornet and I. F. Akyildiz, “Channel modeling and capacity analysis for electromagnetic wireless nanonetworks in the terahertz band,” IEEE Transactions on Wireless Communications, vol. 10, no. 10, pp. 3211–3221, 2011.
  • [190] S. Tarboush, H. Sarieddeen, H. Chen, M. H. Loukil, H. Jemaa, M.-S. Alouini, and T. Y. Al-Naffouri, “Teramimo: A channel simulator for wideband ultra-massive MIMO terahertz communications,” IEEE Transactions on Vehicular Technology, vol. 70, no. 12, pp. 12 325–12 341, 2021.
  • [191] H. Yi, D. He, P. T. Mathiopoulos, B. Ai, J. M. Garcia-Loygorri, J. Dou, and Z. Zhong, “Ray tracing meets terahertz: Challenges and opportunities,” IEEE Communications Magazine, 2022.
  • [192] J. Zhang et al., “Deterministic ray tracing: A promising approach to THz channel modeling in 6G deployment scenarios,” IEEE Communications Magazine, 2023.
  • [193] M. K. Samimi and T. S. Rappaport, “3-D Millimeter-Wave Statistical Channel Model for 5G Wireless System Design,” IEEE Transactions on Microwave Theory and Techniques, vol. 64, no. 7, pp. 2207–2225, 2016.
  • [194] G. R. MacCartney and T. S. Rappaport, “Rural macrocell path loss models for millimeter wave wireless communications,” IEEE Journal on Selected Areas in Communications, vol. 35, no. 7, pp. 1663–1677, 2017.
  • [195] T. S. Rappaport, Y. Xing, G. R. MacCartney, A. F. Molisch, E. Mellios, and J. Zhang, “Overview of Millimeter Wave Communications for Fifth-Generation (5G) Wireless Networks – With a Focus on Propagation Models,” IEEE Transactions on Antennas and Propagation, vol. 65, no. 12, pp. 6213–6230, 2017.
  • [196] C. Han and Y. Chen, “Propagation modeling for wireless communications in the terahertz band,” IEEE Communications Magazine, vol. 56, no. 6, pp. 96–101, 2018.
  • [197] C. Han, Y. Wang, Y. Li, Y. Chen, N. A. Abbasi, T. Kürner, and A. F. Molisch, “Terahertz wireless channels: A holistic survey on measurement, modeling, and analysis,” IEEE Communications Surveys & Tutorials, vol. 24, no. 3, pp. 1670–1707, 2022.
  • [198] M. Shafi et al., “5G: A Tutorial Overview of Standards, Trials, Challenges, Deployment, and Practice,” IEEE Journal on Selected Areas in Communications, vol. 35, no. 6, pp. 1201–1221, 2017.
  • [199] N. A. Abbasi et al., “THz band channel measurements and statistical modeling for urban D2D environments,” IEEE Transactions on Wireless Communications, vol. 22, no. 3, pp. 1466–1479, 2023.
  • [200] F. Sheikh et al., “THz measurements, antennas, and simulations: From the past to the future,” IEEE Journal of Microwaves, vol. 3, no. 1, pp. 289–304, 2023.
  • [201] K. Guan et al., “Channel Characterization for Intra-Wagon Communication at 60 and 300 GHz Bands,” IEEE Transactions on Vehicular Technology, vol. 68, no. 6, pp. 5193–5207, 2019.
  • [202] S. Sun, T. S. Rappaport, M. Shafi, P. Tang, J. Zhang, and P. J. Smith, “Propagation models and performance evaluation for 5G millimeter-wave bands,” IEEE Transactions on Vehicular Technology, vol. 67, no. 9, pp. 8422–8439, 2018.
  • [203] G. R. MacCartney and T. S. Rappaport, “A flexible millimeter-wave channel sounder with absolute timing,” IEEE Journal on Selected Areas in Communications, vol. 35, no. 6, pp. 1402–1418, 2017.
  • [204] H. Poddar, S. Ju, D. Shakya, and T. S. Rappaport, “A Tutorial on NYUSIM: Sub-Terahertz and Millimeter-Wave Channel Simulator for 5G, 6G and Beyond,” IEEE Communications Surveys & Tutorials, pp. 1–35, 2023.
  • [205] K. Dovelos, S. D. Assimonis, H. Q. Ngo, B. Bellalta, and M. Matthaiou, “Intelligent reflecting surfaces at terahertz bands: Channel modeling and analysis,” in 2021 IEEE International Conference on Communications Workshops (ICC Workshops).   IEEE, 2021, pp. 1–6.
  • [206] R. M. Goody and Y. L. Yung, Atmospheric radiation: theoretical basis.   Oxford university press, 1995.
  • [207] V. Petrov, M. Komarov, D. Moltchanov, J. M. Jornet, and Y. Koucheryavy, “Interference analysis of EHF/THF communications systems with blocking and directional antennas,” in 2016 IEEE Global Communications Conference (GLOBECOM), 2016, pp. 1–7.
  • [208] J. Kokkoniemi, J. Lehtomäki, V. Petrov, D. Moltchanov, and M. Juntti, “Frequency domain penetration loss in the terahertz band,” in 2016 Global Symposium on Millimeter Waves (GSMM) & ESA Workshop on Millimetre-Wave Technology and Applications, 2016, pp. 1–4.
  • [209] V. Petrov, M. Komarov, D. Moltchanov, J. M. Jornet, and Y. Koucheryavy, “Interference and SINR in millimeter wave and terahertz communication systems with blocking and directional antennas,” IEEE Transactions on Wireless Communications, vol. 16, no. 3, pp. 1791–1808, 2017.
  • [210] J. Kokkoniemi, J. M. Jornet, V. Petrov, Y. Koucheryavy, and M. Juntti, “Channel modeling and performance analysis of airplane-satellite terahertz band communications,” IEEE Transactions on Vehicular Technology, vol. 70, no. 3, pp. 2047–2061, 2021.
  • [211] P. Boronin, V. Petrov, D. Moltchanov, Y. Koucheryavy, and J. M. Jornet, “Capacity and throughput analysis of nanoscale machine communication through transparency windows in the terahertz band,” Nano Communication Networks, vol. 5, no. 3, pp. 72–82, 2014.
  • [212] V. Petrov, D. Moltchanov, and Y. Koucheryavy, “On the efficiency of spatial channel reuse in ultra-dense THz networks,” in 2015 IEEE Global Communications Conference (GLOBECOM), 2015, pp. 1–7.
  • [213] M. Marcus, X. C. Roman, and J. Jornet, “Millimeter-wave propagation: Spectrum management implications-an update for> 100 GHz [speaker’s corner],” IEEE Microwave Magazine, vol. 24, no. 1, pp. 91–94, 2022.
  • [214] C. Han, W. Gao, N. Yang, and J. M. Jornet, “Molecular absorption effect: A double-edged sword of terahertz communications,” IEEE Wireless Communications, vol. 30, no. 4, pp. 140–146, 2023.
  • [215] J. M. Jornet and I. F. Akyildiz, “Femtosecond-long pulse-based modulation for terahertz band communication in nanonetworks,” IEEE Transactions on Communications, vol. 62, no. 5, pp. 1742–1754, 2014.
  • [216] J. Kokkoniemi, J. Lehtomäki, and M. Juntti, “A discussion on molecular absorption noise in the terahertz band,” Nano Communication Networks, vol. 8, pp. 35–45, June 2016, electromagnetic Communication in Nano-scale.
  • [217] V. Petrov, D. Moltchanov, Y. Koucheryavy, and J. M. Jornet, “Capacity and outage of terahertz communications with user micro-mobility and beam misalignment,” IEEE Transactions on Vehicular Technology, vol. 69, no. 6, pp. 6822–6827, 2020.
  • [218] J. Kokkoniemi, J. Lehtomäki, K. Umebayashi, and M. Juntti, “Frequency and time domain channel models for nanonetworks in terahertz band,” IEEE Transactions on Antennas and Propagation, vol. 63, no. 2, pp. 678–691, 2014.
  • [219] J. Kokkoniemi, P. Rintanen, J. Lehtomaki, and M. Juntti, “Diffraction effects in terahertz band-measurements and analysis,” in 2016 IEEE Global Communications Conference (GLOBECOM).   IEEE, 2016, pp. 1–6.
  • [220] A. Al-Saman, M. Cheffena, O. Elijah, Y. A. Al-Gumaei, S. K. Abdul Rahim, and T. Al-Hadhrami, “Survey of millimeter-wave propagation measurements and models in indoor environments,” Electronics, vol. 10, no. 14, 2021. [Online]. Available: https://www.mdpi.com/2079-9292/10/14/1653
  • [221] C.-X. Wang, J. Bian, J. Sun, W. Zhang, and M. Zhang, “A survey of 5G channel measurements and models,” IEEE Communications Surveys & Tutorials, vol. 20, no. 4, pp. 3142–3168, 2018.
  • [222] Y. Chen, Y. Li, C. Han, Z. Yu, and G. Wang, “Channel measurement and ray-tracing-statistical hybrid modeling for low-terahertz indoor communications,” IEEE Transactions on Wireless Communications, vol. 20, no. 12, pp. 8163–8176, 2021.
  • [223] Y. Chen, C. Han, Z. Yu, and G. Wang, “Channel measurement, characterization and modeling for terahertz indoor communications above 200 GHz,” IEEE Transactions on Wireless Communications, 2023.
  • [224] C. Jansen, S. Priebe, C. Moller, M. Jacob, H. Dierke, M. Koch, and T. Kurner, “Diffuse scattering from rough surfaces in THz communication channels,” IEEE Transactions on Terahertz Science and Technology, vol. 1, no. 2, pp. 462–472, 2011.
  • [225] S. Ju et al., “Scattering mechanisms and modeling for terahertz wireless communications,” in ICC 2019 - 2019 IEEE International Conference on Communications (ICC), 2019, pp. 1–7.
  • [226] C.-L. Cheng and A. Zajić, “Characterization of propagation phenomena relevant for 300 GHz wireless data center links,” IEEE Transactions on Antennas and Propagation, vol. 68, no. 2, pp. 1074–1087, 2020.
  • [227] C. Slezak, V. Semkin, S. Andreev, Y. Koucheryavy, and S. Rangan, “Empirical effects of dynamic human-body blockage in 60 GHz communications,” IEEE Communications Magazine, vol. 56, no. 12, pp. 60–66, 2018.
  • [228] M. Gapeyenko et al., “On the temporal effects of mobile blockers in urban millimeter-wave cellular scenarios,” IEEE Transactions on Vehicular Technology, vol. 66, no. 11, pp. 10 124–10 138, 2017.
  • [229] ——, “Analysis of human-body blockage in urban millimeter-wave cellular communications,” in 2016 IEEE International Conference on Communications (ICC).   IEEE, 2016, pp. 1–7.
  • [230] F. Mokhtari-Koushyar, M. Alamdar, and M. Fakharzadeh, “Human body scattering modeling and measurement for millimeter-wave and 5G bands,” in 2019 IEEE Texas Symposium on Wireless and Microwave Circuits and Systems (WMCS), 2019, pp. 1–5.
  • [231] T. Bai and R. W. Heath, “Analysis of self-body blocking effects in millimeter wave cellular networks,” in 2014 48th Asilomar conference on signals, systems and computers.   IEEE, 2014, pp. 1921–1925.
  • [232] S. H. Samy, E. A. Maher, A. El-Mahdy, and F. Dressler, “Power Optimization of THz Band Heterogeneous Vehicular Networks,” in IEEE Vehicular Networking Conference (VNC), Nov 2021, pp. 107–114.
  • [233] J. M. Eckhardt, V. Petrov, D. Moltchanov, Y. Koucheryavy, and T. Kürner, “Channel measurements and modeling for low-terahertz band vehicular communications,” IEEE Journal on Selected Areas in Communications, vol. 39, no. 6, pp. 1590–1603, 2021.
  • [234] R. Schneider, D. Didascalou, and W. Wiesbeck, “Impact of road surfaces on millimeter-wave propagation,” IEEE Transactions on Vehicular Technology, vol. 49, no. 4, pp. 1314–1320, 2000.
  • [235] V. Petrov, J. M. Eckhardt, D. Moltchanov, Y. Koucheryavy, and T. Kurner, “Measurements of reflection and penetration losses in low terahertz band vehicular communications,” in 2020 14th European Conference on Antennas and Propagation (EuCAP), 2020, pp. 1–5.
  • [236] C. Tunc and S. S. Panwar, “Mitigating the impact of blockages in millimeter-wave vehicular networks through vehicular relays,” IEEE Open Journal of Intelligent Transportation Systems, vol. 2, pp. 225–239, 2021.
  • [237] V. Petrov, D. Moltchanov, S. Andreev, and R. W. Heath, “Analysis of intelligent vehicular relaying in urban 5G+ millimeter-wave cellular deployments,” in 2019 IEEE Global Communications Conference (GLOBECOM).   IEEE, 2019, pp. 1–6.
  • [238] S. Ju, Y. Xing, O. Kanhere, and T. S. Rappaport, “Millimeter wave and sub-terahertz spatial statistical channel model for an indoor office building,” IEEE Journal on Selected Areas in Communications, vol. 39, no. 6, pp. 1561–1575, 2021.
  • [239] T. Doeker, J. M. Eckhardt, and T. Kürner, “Channel measurements and modeling for low terahertz communications in an aircraft cabin,” IEEE Transactions on Antennas and Propagation, vol. 70, no. 11, pp. 10 903–10 916, 2022.
  • [240] C. Han, A. O. Bicen, and I. F. Akyildiz, “Multi-ray channel modeling and wideband characterization for wireless communications in the terahertz band,” IEEE Transactions on Wireless Communications, vol. 14, no. 5, pp. 2402–2412, 2014.
  • [241] D. Bodet, P. Dinh, M. Stojanovic, J. Widmer, D. Koutsonikolas, and J. M. Jornet, “Characterizing sub-thz mimo channels in practice: a novel channel sounder with absolute time reference,” in GLOBECOM 2023-2023 IEEE Global Communications Conference.   IEEE, 2023, pp. 1459–1464.
  • [242] S. Ju, D. Shakya, H. Poddar, Y. Xing, O. Kanhere, and T. S. Rappaport, “142 GHz Sub-Terahertz Radio Propagation Measurements and Channel Characterization in Factory Buildings,” IEEE Transactions on Wireless Communications, 2023.
  • [243] 3GPP, “Technical specification group radio access network: study on channel model for frequencies from 0.5 to 100 GHz (Release 16),” TR 38.901, V16.0.0, 2019.
  • [244] S. Latini, K. T. Winther, T. Olsen, and K. S. Thygesen, “Interlayer excitons and band alignment in mos2/hbn/wse2 van der waals heterostructures,” Nano letters, vol. 17, no. 2, pp. 938–945, 2017.
  • [245] M. G. Burdanova et al., “Ultrafast optoelectronic processes in 1d radial van der waals heterostructures: carbon, boron nitride, and mos2 nanotubes with coexisting excitons and highly mobile charges,” Nano Letters, vol. 20, no. 5, pp. 3560–3567, 2020.
  • [246] J. Park and H. Wang, “A 26-to-39GHz broadband ultra-Compact high-linearity switchless hybrid N/PMOS bi-directional PA/LNA front-end for multi-band 5G large-scaled MIMO system,” in 2022 IEEE International Solid-State Circuits Conference (ISSCC), vol. 65, 2022, pp. 322–324.
  • [247] I. Abdo et al., “22.2 A 300GHz-Band Phased-Array Transceiver Using Bi-Directional Outphasing and Hartley Architecture in 65nm CMOS,” in 2021 IEEE International Solid-State Circuits Conference (ISSCC), vol. 64, 2021, pp. 316–318.
  • [248] B. A. Abdelmagid and H. Wang, “An ultra-compact and wideband transformer-based coupler with arbitrary phase difference and arbitrary power division ratio,” IEEE Transactions on Microwave Theory and Techniques, pp. 1–15, 2023.
  • [249] B. Ning et al., “Beamforming technologies for ultra-massive MIMO in terahertz communications,” IEEE Open Journal of the Communications Society, vol. 4, pp. 614–658, 2023.
  • [250] H. Wang, H. Mohammadnezhad, and P. Heydari, “Analysis and design of high-order qam direct-modulation transmitter for high-speed point-to-point mm-wave wireless links,” IEEE Journal of Solid-State Circuits, vol. 54, no. 11, pp. 3161–3179, 2019.
  • [251] J. S.-C. Chien and J. F. Buckwalter, “A 110-120-ghz, 12.2% efficiency, 16.2-dbm output power multiplying outphasing transmitter in 22-nm fdsoi,” in 2022 IEEE Asian Solid-State Circuits Conference (A-SSCC).   IEEE, 2022, pp. 1–3.
  • [252] C. D’heer and P. Reynaert, “A 135 ghz 32 gb/s direct-digital modulation 16-qam transmitter in 28 nm cmos,” in ESSCIRC 2022-IEEE 48th European Solid State Circuits Conference (ESSCIRC).   IEEE, 2022, pp. 481–484.
  • [253] M. u. Rehman, S. Ravichandran, S. Erdogan, and M. Swaminathan, “W-band and D-band transmission lines on glass based substrates for sub-THz modules,” in 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), 2020, pp. 660–665.
  • [254] A. Singh et al., “A D-Band radio-on-glass module for spectrally-efficient and low-cost wireless backhaul,” in 2020 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2020, pp. 99–102.
  • [255] M. Elkhouly et al., “Fully integrated 2D scalable TX/RX chipset for D-Band phased-array-on-glass modules,” in 2022 IEEE International Solid-State Circuits Conference (ISSCC), vol. 65, 2022, pp. 76–78.
  • [256] M. Cui, Z. Wu, Y. Lu, X. Wei, and L. Dai, “Near-field MIMO communications for 6G: Fundamentals, challenges, potentials, and future directions,” IEEE Communications Magazine, vol. 61, no. 1, pp. 40–46, 2023.
  • [257] E. Bjornson and L. Sanguinetti, “Power scaling laws and near-field behaviors of massive MIMO and intelligent reflecting surfaces,” IEEE Open Journal of the Communications Society, vol. 1, pp. 1306–1324, 2020.
  • [258] I. V. Reddy, D. Bodet, A. Singh, V. Petrov, C. Liberale, and J. M. Jornet, “Ultrabroadband terahertz-band communications with self-healing bessel beams,” Communications Engineering, vol. 2, 2023.
  • [259] V. Petrov, D. Bodet, and A. Singh, “Mobile near-field terahertz communications for 6G and 7G networks: Research challenges,” Frontiers in Communications and Networks, vol. 4, 2023.
  • [260] V. Petrov, J. M. Jornet, and A. Singh, “Near-field 6G networks: Why mobile terahertz communications MUST operate in the near field,” in GLOBECOM 2023 - 2023 IEEE Global Communications Conference, 2023, pp. 3983–3989.
  • [261] P. Sen, S. Badran, V. Petrov, A. Singh, and J. M. Jornet, “Impact of the antenna on the sub-terahertz indoor channel characteristics: An experimental approach,” 2024.
  • [262] V. Petrov, H. Guerboukha, D. M. Mittleman, and A. Singh, “Wavefront hopping: An enabler for reliable and secure near field terahertz communications in 6G and beyond,” IEEE Wireless Communications, vol. 31, no. 1, pp. 48–55, 2024.
  • [263] R. Singh and D. Sicker, “Parameter modeling for small-scale mobility in indoor THz communication,” in 2019 IEEE Global Communications Conference (GLOBECOM), 2019, pp. 1–6.
  • [264] Y. Li, Y. Wang, Y. Chen, Z. Yu, and C. Han, “Channel measurement and analysis in an indoor corridor scenario at 300 GHz,” in ICC 2022 - IEEE International Conference on Communications, 2022, pp. 2888–2893.
  • [265] T. S. Rappaport, S. Sun, and M. Shafi, “Investigation and Comparison of 3GPP and NYUSIM Channel Models for 5G Wireless Communications,” in 2017 IEEE 86th Vehicular Technology Conference (VTC-Fall), 2017, pp. 1–5.
  • [266] Z. Yu, Y. Chen, G. Wang, W. Gao, and C. Han, “Wideband channel measurements and temporal-spatial analysis for terahertz indoor communications,” in 2020 IEEE International Conference on Communications Workshops (ICC Workshops), 2020, pp. 1–6.
  • [267] O. Kanhere and T. S. Rappaport, “Calibration of NYURay, a 3D mmwave and sub-THz ray tracer using indoor, outdoor, and factory channel measurements,” in ICC 2023 - IEEE International Conference on Communications, Jun 2023.
  • [268] Z. Hossain, Q. Xia, and J. M. Jornet, “Terasim: An ns-3 extension to simulate terahertz-band communication networks,” Nano Communication Networks, vol. 17, pp. 36–44, 2018.
  • [269] A. A. Gargari, M. Polese, and M. Zorzi, “Full-stack comparison of channel models for networks above 100 GHz in an indoor scenario,” in Proceedings of the 5th ACM Workshop on Millimeter-Wave and Terahertz Networks and Sensing Systems, 2021, pp. 43–48.
  • [270] M. Polese, J. M. Jornet, T. Melodia, and M. Zorzi, “Toward end-to-end, full-stack 6G terahertz networks,” IEEE Communications Magazine, vol. 58, no. 11, pp. 48–54, 2020.
  • [271] A. A. Gargari, M. Pagin, M. Polese, and M. Zorzi, “6G integrated access and backhaul networks with sub-terahertz links,” in 2023 18th Wireless On-Demand Network Systems and Services Conference (WONS).   IEEE, 2023, pp. 13–19.
  • [272] A. A. Gargari, M. Pagin, A. Ortiz, N. M. Gholian, M. Polese, and M. Zorzi, “[SeBaSi] system-level integrated access and backhaul simulator for self-backhauling,” in 2023 IEEE 24th International Symposium on a World of Wireless, Mobile and Multimedia Networks (WoWMoM).   IEEE, 2023, pp. 355–357.
  • [273] P. Sen, V. Ariyarathna, A. Madanayake, and J. M. Jornet, “A versatile experimental testbed for ultrabroadband communication networks above 100 ghz,” Computer Networks, vol. 193, p. 108092, 2021.
  • [274] F. C. C. (FCC), “Fcc online table of frequency allocations,” updated regularly, accessed April 1, 2024. [Online]. Available: transition.fcc.gov/oet/spectrum/table/fcctable.pdf
  • [275] M. Polese, X. Cantos-Roman, A. Singh, M. J. Marcus, T. J. Maccarone, T. Melodia, and J. M. Jornet, “Coexistence and spectrum sharing above 100 ghz,” Proceedings of the IEEE, 2023.
  • [276] L. Moeller, J. Federici, and K. Su, “2.5 gbit/s duobinary signalling with narrow bandwidth 0.625 terahertz source,” Electronics letters, vol. 47, no. 15, pp. 856–858, 2011.
  • [277] S. Tarboush, H. Sarieddeen, M.-S. Alouini, and T. Y. Al-Naffouri, “Single-versus multicarrier terahertz-band communications: A comparative study,” IEEE Open Journal of the Communications Society, vol. 3, pp. 1466–1486, 2022.
  • [278] P. Desombre, H. Farès, and Y. Louët, “Performance comparison of digital modulations in the presence of gaussian phase noise in the sub-thz context,” in 2021 Fourth International Workshop on Mobile Terahertz Systems (IWMTS).   IEEE, 2021, pp. 1–5.
  • [279] M. Jian and R. Liu, “Baseband signal processing for terahertz: waveform design, modulation and coding,” in 2021 International Wireless Communications and Mobile Computing (IWCMC).   IEEE, 2021, pp. 1710–1715.
  • [280] C. T. Parisi, S. Badran, P. Sen, V. Petrov, and J. M. Jornet, “Modulations for terahertz band communications: Joint analysis of phase noise impact and papr effects,” IEEE Open Journal of the Communications Society, 2023.
  • [281] C. Bosso, P. Sen, X. Cantos-Roman, C. Parisi, N. Thawdar, and J. M. Jornet, “Ultrabroadband Spread Spectrum Techniques for Secure Dynamic Spectrum Sharing Above 100 GHz Between Active and Passive Users,” in 2021 IEEE International Symposium on Dynamic Spectrum Access Networks (DySPAN).   IEEE, 2021, pp. 45–52.
  • [282] C. Han and I. F. Akyildiz, “Distance-aware multi-carrier (damc) modulation in terahertz band communication,” in 2014 IEEE International Conference on Communications (ICC).   IEEE, 2014, pp. 5461–5467.
  • [283] D. Bodet, P. Sen, Z. Hossain, N. Thawdar, and J. M. Jornet, “Hierarchical bandwidth modulations for ultra-broadband communications in the terahertz band,” IEEE Transactions on Wireless Communications, vol. 22, no. 3, pp. 1931–1947, 2022.
  • [284] J. M. Jornet and I. F. Akyildiz, “Low-weight channel coding for interference mitigation in electromagnetic nanonetworks in the terahertz band,” in 2011 IEEE international conference on communications (ICC).   IEEE, 2011, pp. 1–6.
  • [285] M. Kocaoglu and O. B. Akan, “Minimum energy channel codes for nanoscale wireless communications,” IEEE Transactions on Wireless Communications, vol. 12, no. 4, pp. 1492–1500, 2013.
  • [286] N. Akkari et al., “Joint physical and link layer error control analysis for nanonetworks in the terahertz band,” Wireless Networks, vol. 22, pp. 1221–1233, 2016.
  • [287] T. S. Rappaport, R. W. Heath Jr, R. C. Daniels, and J. N. Murdock, Millimeter wave wireless communications.   Pearson Education, 2015.
  • [288] S. Sun, T. S. Rappaport, M. Shafi, and H. Tataria, “Analytical framework of hybrid beamforming in multi-cell millimeter-wave systems,” IEEE Transactions on Wireless Communications, vol. 17, no. 11, pp. 7528–7543, 2018.
  • [289] A. Alkhateeb, O. El Ayach, G. Leus, and R. W. Heath, “Channel estimation and hybrid precoding for millimeter wave cellular systems,” IEEE Journal of Selected Topics in Signal Processing, vol. 8, no. 5, pp. 831–846, 2014.
  • [290] M. K. Chary, C. V. Krishna, and D. R. Krishna, “Accurate channel estimation and hybrid beamforming using artificial intelligence for massive mimo 5g systems,” AEU-International Journal of Electronics and Communications, vol. 173, p. 154971, 2024.
  • [291] Y. Ghasempour, C.-Y. Yeh, R. Shrestha, D. Mittleman, and E. Knightly, “Single shot single antenna path discovery in thz networks,” in Proceedings of the 26th Annual International Conference on Mobile Computing and Networking, 2020, pp. 1–13.
  • [292] N. S. Mannem, J. Park, E. Erfani, E. Liu, J. Lee, and H. Wang, “A reconfigurable phase-time array transmitter achieving keyless secured transmission and multi-receiver localization for low-latency joint communication and sensing,” IEEE Journal of Solid-State Circuits, 2023.
  • [293] N. S. Mannem, E. Erfani, T.-Y. Huang, and H. Wang, “A mm-wave frequency modulated transmitter array for superior resolution in angular localization supporting low-latency joint communication and sensing,” IEEE Journal of Solid-State Circuits, 2022.
  • [294] A. Faisal, H. Sarieddeen, H. Dahrouj, T. Y. Al-Naffouri, and M.-S. Alouini, “Ultramassive mimo systems at terahertz bands: Prospects and challenges,” IEEE Vehicular Technology Magazine, vol. 15, no. 4, pp. 33–42, 2020.
  • [295] H. Do, S. Cho, J. Park, H.-J. Song, N. Lee, and A. Lozano, “Terahertz line-of-sight mimo communication: Theory and practical challenges,” IEEE Communications Magazine, vol. 59, no. 3, pp. 104–109, 2021.
  • [296] H. Lu et al., “A tutorial on near-field xl-mimo communications towards 6g,” IEEE Communications Surveys & Tutorials, 2024.
  • [297] D. M. Bodet and J. M. Jornet, “Directional antennas for sub-thz and thz mimo systems: Bridging the gap between theory and implementation,” IEEE Open Journal of the Communications Society, 2023.
  • [298] S. Elhoushy, M. Ibrahim, and W. Hamouda, “Cell-free massive mimo: A survey,” IEEE Communications Surveys & Tutorials, vol. 24, no. 1, pp. 492–523, 2021.
  • [299] B. Li, D. Dupleich, G. Xia, H. Zhou, Y. Zhang, P. Xiao, and L.-L. Yang, “Mdd-enabled two-tier terahertz fronthaul in indoor industrial cell-free massive mimo,” IEEE transactions on communications, 2023.
  • [300] M. A. Saeidi, H. Tabassum, and M.-S. Alouini, “Multi-band wireless networks: Architectures, challenges, and comparative analysis,” IEEE Communications Magazine, 2023.
[Uncaptioned image] Josep M. Jornet (M’13–SM’20–F’24) is a Professor in the Department of Electrical and Computer Engineering, the director of the Ultrabroadband Nanonetworking (UN) Laboratory, and the Associate Director of the Institute for the Wireless Internet of Things at Northeastern University (NU). He received a Degree in Telecommunication Engineering and a Master of Science in Information and Communication Technologies from the Universitat Politècnica de Catalunya, Spain, in 2008. He received his Ph.D. degree in Electrical and Computer Engineering from the Georgia Institute of Technology, Atlanta, GA, in August 2013. Between August 2013 and August 2019, he was in the Department of Electrical Engineering at the University at Buffalo (UB), The State University of New York (SUNY). He is a leading expert in terahertz communications, in addition to wireless nano-bio-communication networks and the Internet of Nano-Things. In these areas, he has co-authored more than 250 peer-reviewed scientific publications, including one book, and has been granted five US patents. His work has received over 17,000 citations (h-index of 61 as of June 2024). He is serving as the lead PI on multiple grants from U.S. federal agencies including the National Science Foundation, the Air Force Office of Scientific Research, and the Air Force Research Laboratory as well as industry. He is the recipient of multiple awards, including the 2017 IEEE ComSoc Young Professional Best Innovation Award, the 2017 ACM NanoCom Outstanding Milestone Award, the NSF CAREER Award in 2019, the 2022 IEEE ComSoc RCC Early Achievement Award, and the 2022 IEEE Wireless Communications Technical Committee Outstanding Young Researcher Award, among others, as well as four best paper awards. He is a Fellow of the IEEE and an IEEE ComSoc Distinguished Lecturer (Class of 2022-2023, Extended to 2024). He is also the Editor-in-Chief of the Elsevier Nano Communication Networks journal and Editor for IEEE Transactions on Communications and Nature Scientific Reports.
[Uncaptioned image] Vitaly Petrov is an Assistant Professor with the Division of Communication Systems, KTH Royal Institute of Technology, Stockholm, Sweden. Prior to joining KTH in 2024, he was a Principal Research Scientist at Northeastern University, Boston, MA, USA (2022 – 2024) and a Senior Standardization Specialist and a 3GPP RAN1 delegate with Nokia Bell Labs and later Nokia Standards (2020 – 2022). Vitaly obtained his M.Sc. degree in Information Systems Security from SUAI University, St. Petersburg, Russia, in 2011, his M.Sc. degree in IT and Communications Engineering from Tampere University of Technology, Tampere, Finland, in 2014, and his Ph.D. degree in Communications Engineering from Tampere University, Finland, in 2020. Vitaly has also been a visiting researcher with the University of Texas at Austin, Georgia Institute of Technology, and King’s College London. His current research interests include terahertz band communications and networking. He is a recipient of the Best Student Paper Award at IEEE VTC-Fall 2015, the Best Student Poster Award at IEEE WCNC 2017, and the Best Student Journal Paper Award from IEEE Finland in 2019.
[Uncaptioned image] Hua Wang (Fellow, IEEE) is a Full Professor and the Chair of Electronics at Department of Information Technology and Electrical Engineering (D-ITET) of Swiss Federal Institute of Technology Zürich (ETH Zürich). He is the Institute Deputy Head of the Integrated Systems Laboratory (IIS) at ETH Zürich. He is the Director of the ETH Integrated Devices, Electronics, And Systems (IDEAS) Group. He is a faculty member of the ETH Zürich Quantum Center. Prior to that, he was a Tenured Associate Professor at the School of Electrical and Computer Engineering (ECE) at Georgia Institute of Technology, USA. He held the Demetrius T. Paris professorship at Georgia Tech. He was the director of the Georgia Tech Electronics and Micro-System (GEMS) lab. He worked at Intel Corporation and Skyworks Solutions from 2010 to 2011. He received his M.S. and Ph.D. degrees in electrical engineering from the California Institute of Technology, Pasadena, in 2007 and 2009, respectively. Dr. Wang is interested in innovating analog, mixed-signal, RF, and mm-Wave integrated circuits and hybrid systems for wireless communication, sensing, and bioelectronics applications. He has authored or co-authored over 250 peer-reviewed journal and conference papers. Dr. Wang is a Top Contributing Author to the IEEE International Solid-State Circuits Conference (ISSCC) of the past 70 years 1954-2023. He received the DARPA Director’s Fellowship Award in 2020 (the first awardee in Georgia Tech’s history), the DARPA Young Faculty Award in 2018, the National Science Foundation CAREER Award in 2015, the Qualcomm Faculty Award in 2020 and 2021, the IEEE MTT-S Outstanding Young Engineer Award in 2017, the Georgia Tech Sigma Xi Young Faculty Award in 2016, the Georgia Tech ECE Outstanding Junior Faculty Member Award in 2015, and the Lockheed Dean’s Excellence in Teaching Award in 2015. His research group has won multiple academic awards and best paper awards, including the 2019 Marconi Society Paul Baran Young Scholar, the IEEE RFIC Best Student Paper Awards (2014, 2016, 2018, and 2021), the IEEE IMS Best Student Paper Award 2021, the IEEE CICC Outstanding Student Paper Awards (2015, 2018, and 2019), the IEEE CICC Best Conference Paper Award (2017), the 2016 IEEE Microwave Magazine Best Paper Award, and the IEEE SENSORS Best Live Demo Award (2016). Dr. Wang was a Technical Program Committee (TPC) Member for IEEE ISSCC, RFIC, CICC, and BCICTS conferences. He was a Steering Committee Member for IEEE RFIC and CICC. He was the Conference Chair for CICC 2019 and Conference General Chair for CICC 2020. He is a Distinguished Microwave Lecturer (DML) for the IEEE Microwave Theory and Techniques Society (MTT-S) for the term of 2022-2024. He was a Distinguished Lecturer (DL) for the IEEE Solid-State Circuits Society (SSCS) for the term of 2018-2019. He served as the Chair of the Atlanta’s IEEE CAS/SSCS joint chapter that won the IEEE SSCS Outstanding Chapter Award in 2014.
[Uncaptioned image] Zoya Popović (S’86-M’90-SM’99-F’02) is a Distinguished Professor and the Lockheed Martin Endowed Chair in Electrical Engineering at the University of Colorado, Boulder. She obtained her Dipl. Ing. degree at the University of Belgrade, Serbia, and her Ph.D. at Caltech. She was awarded Doktora Honoris Causa (honorary doctorate) in 2022 from the Carlos III University in Madrid, Spain. She was a Visiting Professor with the Technical University of Munich in 2001/03, ISAE in Toulouse, France in 2014, and was a Chair of Excellence at Carlos III University in Madrid in 2018/19. She has graduated over 70 PhDs and currently advises 18 doctoral students. Her research interests are in high-efficiency power amplifiers and transmitters, microwave and millimeter-wave high-performance circuits for communications and radar, medical applications of microwaves, quantum sensing and metrology, and wireless powering. She is a Fellow of the IEEE and the recipient of two IEEE MTT Microwave Prizes for best journal papers, the White House NSF Presidential Faculty Fellow award, the URSI Issac Koga Gold Medal, the ASEE/HP Terman Medal and the German Alexander von Humboldt Research Award. She was elected as foreign member of the Serbian Academy of Sciences and Arts in 2006. She was named IEEE MTT Distinguished Educator in 2013 and the University of Colorado Distinguished Research Lecturer in 2015. In 2022, she was elected a Member of the National Academy of Engineering and in 2024 a Fellow of the National Academy of Inventors.
[Uncaptioned image] Dipankar Shakya (Graduate Student Member, IEEE) received the B.E. degree in electronics and communications from Tribhuwan University, Kirtipur, Nepal, in 2016, and the M.S. degree in electrical engineering from New York University, New York, NY, USA, in 2021. He is currently pursuing the Ph.D. degree in electrical engineering at the NYU WIRELESS Research Center, New York University Tandon School of Engineering, Brooklyn, NY, USA, under the supervision of Prof. Theodore S. Rappaport. He joined NYU WIRELESS Research Center in 2019 following three years of service as an Engineer for flood early warning systems in different South Asian countries. His research interests include FR1(C), FR3, millimeter-wave and terahertz radio propagation measurement systems, channel modeling, and RFIC design.
[Uncaptioned image] Jose V. Siles (Senior Member, IEEE) received the Ph.D. degree in electrical engineering from the Technical University of Madrid, Madrid, Spain, in 2008. From 2008 to 2010, he was a Postdoctoral Fellow with the Observatory of Paris-LERMA, Paris, France, participating in several programs funded by the CNES, the European Space Agency, and the European Commission. In 2010, he joined the Submillimeter-Wave Advanced Technology Group, NASA’s Jet Propulsion Laboratory, California Institute of Technology, Pasadena, CA, USA, as a Fulbright Postdoctoral Fellow. He is currently the Project Manager and Technical Lead of NASA’s ASTHROS mission, which is planned to launch from Antarctica in 2024. His Ph.D. dissertation was focused on the physics-based modeling of semiconductor devices for terahertz applications, and part of this research was performed at the University of Rome “Tor Vergata," Rome, Italy, and the Observatory of Paris-LERMA. His research interests include the design, development, and test of solid-state power-combined multiplied local oscillator sources and receivers for high-resolution multipixel heterodyne cameras at submillimeter-wave and terahertz frequencies for astrophysics, planetary science, and radar imaging applications. Dr. Siles was the recipient of the Fulbright Postdoctoral Research Award at the NASA Jet Propulsion Laboratory in 2010–2012, the 2012 NASA/JPL Outstanding Postdoctoral Research Award, the 2019 NASA/JPL Lew Allen Award for Excellence, and the U.S. Antarctic Service Medal in 2016.
[Uncaptioned image] Theodore S. Rappaport (S’83–M’84–SM’91–F’98) is the David Lee/Ernst Weber Professor with New York University (NYU) and holds faculty appointments in the Electrical and Computer Engineering Department of the NYU Tandon School of Engineering, the Courant Computer Science Department, and the NYU Langone School of Medicine. He is the Founding Director of NYU WIRELESS, a multidisciplinary research center focused on the future of wireless communications and applications. His research has led the way for modern wireless communication systems. In 1987, his Ph.D. at Purdue University provided fundamental knowledge of indoor wireless channels used to create the first Wi-Fi standard (IEEE 802.11), and he conducted fundamental work that led to the first US Digital cellphone standards, TDMA IS-54/IS-136, and CDMA IS-95. He and his students engineered the world’s first public Wi-Fi hotspots, and his work proved the viability of millimeter waves for mobile communications. The global wireless industry adopted his vision for 5th generation (5G) millimeter wave cellphone networks. His most recent research has proven the viability of sub-terahertz wireless communications and position location for 6G, 7G and beyond. He founded three academic wireless research centers at Virginia Tech, The University of Texas, and NYU that have produced thousands of engineers and educators since 1990, and he has coauthored over 300 papers and twenty books, including the most cited books on wireless communications, adaptive antennas, wireless simulation, and millimeter wave communications. He co-founded two wireless companies, TSR Technologies and Wireless Valley Communication, which were sold to publicly traded companies, and he has advised many others. He co-founded the Virginia Tech Symposium on Wireless Communications in 1991, the Texas Wireless Summit in 2003, and the Brooklyn 5G Summit (B5GS) in 2014. He has more than 100 patents issued and pending, served on the Technological Advisory Council of the Federal Communications Commission (FCC), is a member of the National Academy of Engineering, is a member of the Wireless Hall of Fame, is a Fellow of the Radio Club of America and the National Academy of Inventors, a life member of the American Radio Relay League, a licensed professional engineer in Texas and Virginia, and an amateur radio operator (N9NB). He has received IEEE’s Eric Sumner Award, ASEE’s Terman Award, The Sir Monty Finniston Medal from the Institution of Engineering and Technology (IET), the IEEE Vehicular Technology Society’s James R. Evans Avant Garde and Stu Meyer Awards, the IEEE Education Society William E. Sayle Award for achievement in education, the IEEE Communications Society Armstrong Award, and the Armstrong Medal and Sarnoff Citation from the Radio Club of America.