Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

Showing 1–11 of 11 results for author: Razmkhah, S

Searching in archive cond-mat. Search in all archives.
.
  1. arXiv:2406.08871  [pdf, other

    cond-mat.supr-con cs.ET

    Superconductor bistable vortex memory for data storage and in-memory computing

    Authors: Mustafa Altay Karamuftuoglu, Beyza Zeynep Ucpinar, Sasan Razmkhah, Massoud Pedram

    Abstract: Superconductor electronics (SCE) is a promising complementary and beyond CMOS technology. However, despite its practical benefits, the realization of SCE logic faces a significant challenge due to the absence of dense and scalable nonvolatile memory designs. While various nonvolatile memory technologies, including Non-destructive readout, vortex transitional memory (VTM), and magnetic memory, have… ▽ More

    Submitted 13 June, 2024; originally announced June 2024.

  2. arXiv:2402.16384  [pdf, other

    cond-mat.supr-con cs.ET cs.NE

    Scalable Superconductor Neuron with Ternary Synaptic Connections for Ultra-Fast SNN Hardware

    Authors: Mustafa Altay Karamuftuoglu, Beyza Zeynep Ucpinar, Arash Fayyazi, Sasan Razmkhah, Mehdi Kamal, Massoud Pedram

    Abstract: A novel high-fan-in differential superconductor neuron structure designed for ultra-high-performance Spiking Neural Network (SNN) accelerators is presented. Utilizing a high-fan-in neuron structure allows us to design SNN accelerators with more synaptic connections, enhancing the overall network capabilities. The proposed neuron design is based on superconductor electronics fabric, incorporating m… ▽ More

    Submitted 27 February, 2024; v1 submitted 26 February, 2024; originally announced February 2024.

    Comments: 9 pages, 5 figures, 2 tables

  3. arXiv:2312.09386  [pdf, other

    cond-mat.supr-con cs.AR cs.ET

    Efficient Superconductor Arithmetic Logic Unit for Ultra-Fast Computing

    Authors: Sasan Razmkhah, Ali Bozbey

    Abstract: We present a 4-bit Arithmetic Logic Unit (ALU) utilizing superconductor technology. The ALU serves as the central processing unit of a processor, performing crucial arithmetic and logical operations. We have adopted a bit-parallel architecture to ensure an efficient and streamlined design with minimal fanin/fanout and optimal latency. In terms of fabrication, the ALU has been fabricated using a st… ▽ More

    Submitted 14 December, 2023; originally announced December 2023.

    Comments: 11 pages, 10 figures and 37 references

  4. arXiv:2311.07787  [pdf, other

    cond-mat.supr-con cs.AR cs.NE

    Hybrid Synaptic Structure for Spiking Neural Network Realization

    Authors: Sasan Razmkhah, Mustafa Altay Karamuftuoglu, Ali Bozbey

    Abstract: Neural networks and neuromorphic computing play pivotal roles in deep learning and machine vision. Due to their dissipative nature and inherent limitations, traditional semiconductor-based circuits face challenges in realizing ultra-fast and low-power neural networks. However, the spiking behavior characteristic of single flux quantum (SFQ) circuits positions them as promising candidates for spiki… ▽ More

    Submitted 13 November, 2023; originally announced November 2023.

    Comments: 7 pages, 10 figures

  5. arXiv:2310.13857  [pdf, other

    cond-mat.supr-con cs.DL cs.ET

    Superconductor Logic Implementation with All-JJ Inductor-Free Cell Library

    Authors: Haolin Cong, Sasan Razmkhah, Mustafa Altay Karamuftuoglu, Massoud Pedram

    Abstract: Single flux quantum (SFQ) technology has garnered significant attention due to its low switching power and high operational speed. Researchers have been actively pursuing more advanced devices and technologies to further reduce the reliance on inductors, bias, and dynamic power. Recently, innovative magnetic Josephson junction devices have emerged, enhancing the field of superconductor electronics… ▽ More

    Submitted 20 October, 2023; originally announced October 2023.

    Comments: 9 pages, 28 figures, 13 tables

  6. arXiv:2310.07824  [pdf, other

    cs.NE cond-mat.supr-con

    An On-Chip Trainable Neuron Circuit for SFQ-Based Spiking Neural Networks

    Authors: Beyza Zeynep Ucpinar, Mustafa Altay Karamuftuoglu, Sasan Razmkhah, Massoud Pedram

    Abstract: We present an on-chip trainable neuron circuit. Our proposed circuit suits bio-inspired spike-based time-dependent data computation for training spiking neural networks (SNN). The thresholds of neurons can be increased or decreased depending on the desired application-specific spike generation rate. This mechanism provides us with a flexible design and scalable circuit structure. We demonstrate th… ▽ More

    Submitted 11 October, 2023; originally announced October 2023.

    Comments: 5 pages, 8 figures. The work was presented in EUCAS 2023

    Journal ref: in IEEE Transactions on Applied Superconductivity, vol. 34, no. 3, pp. 1-6, May 2024, Art no. 1300506

  7. arXiv:2309.03407  [pdf, other

    quant-ph cond-mat.supr-con cs.CC

    A Josephson Parametric Oscillator-Based Ising Machine

    Authors: Sasan Razmkhah, Mehdi Kamal, Nobuyuki Yoshikawa, Massoud Pedram

    Abstract: Ising machines have emerged as a promising solution for rapidly solving NP-complete combinatorial optimization problems, surpassing the capabilities of traditional computing methods. By efficiently determining the ground state of the Hamiltonian during the annealing process, Ising machines can effectively complement CPUs in tackling optimization challenges. To realize these Ising machines, a bi-st… ▽ More

    Submitted 12 December, 2023; v1 submitted 6 September, 2023; originally announced September 2023.

    Comments: 9 pages, 10 figures, 31 references. Accepted by PRB

    Journal ref: Phys. Rev. B, vol. 109, p. 014511, Jan 2024

  8. arXiv:2308.12474  [pdf, other

    cond-mat.supr-con

    High-Density Superconductive Logic Circuits Utilizing 0 and $π$ Josephson Junctions

    Authors: Sasan Razmkhah, Massoud Pedram

    Abstract: Superconductor Electronics (SCE) is a fast and power-efficient technology with great potential for overcoming conventional CMOS electronics' scaling limits. Nevertheless, the primary challenge confronting SCE today pertains to its integration level, which lags several orders of magnitude behind CMOS circuits. In this study, we have innovated and simulated a novel logic family grounded in the princ… ▽ More

    Submitted 23 August, 2023; originally announced August 2023.

    Comments: 9 pages, 16 figures

    Journal ref: Engineering Research Express (2024)

  9. arXiv:2211.06667  [pdf

    quant-ph cond-mat.supr-con physics.app-ph

    Superconductor modulation circuits for Qubit control at microwave frequencies

    Authors: Sasan Razmkhah, Ali Bozbey, Pascal Febvre

    Abstract: Readout and control of qubits are limiting factors in scaling quantum computers. An ideal solution is to integrate energy-efficient cryogenic circuits close to the qubits to perform control and pre-processing tasks. With orders of magnitude lower power consumption and hence lower noise, Single Flux Quantum (SFQ) and Adiabatic Quantum Flux Parametron (AQFP) superconductor logic families can reach u… ▽ More

    Submitted 27 September, 2023; v1 submitted 12 November, 2022; originally announced November 2022.

    Comments: Presented at the Applied Superconductivity Conference (ASC 2022), Honolulu, 24-28 October 2022. 6 pages, 10 figures, 41 references

    ACM Class: B.7

  10. arXiv:1912.00503  [pdf

    physics.app-ph cond-mat.supr-con

    Study of microwave resonances induced by bias lines of shunted Josephson junctions

    Authors: Ugur Yilmaz, Sasan Razmkhah, Romain Collot, Juergen Kunert, Ronny Stolz, Pascal Febvre

    Abstract: Bias lines routed over a ground plane naturally form microstrip lines associated with the presence of a capacitance. This can lead to unwanted resonances when coupled to Josephson junctions. This work presents an electrical model of a shunted Josephson junction with its bias lines and pads, fabricated with the 1 kA/cm$^2$ RSFQ niobium process of the FLUXONICS Foundry. A compact LCL T-model is used… ▽ More

    Submitted 22 June, 2020; v1 submitted 1 December, 2019; originally announced December 2019.

    Comments: Presented at ISEC2019 conference

  11. arXiv:1909.12421  [pdf

    cond-mat.supr-con physics.app-ph physics.comp-ph

    JOINUS: A User-friendly Open-source Software to Simulate Digital Superconductor Circuits

    Authors: Sasan Razmkhah, Pascal Febvre

    Abstract: Single flux quantum (SFQ) based circuits are the subject of renewed attention due to their high speed and their very high energy efficiency. However, the need of cryogenic temperature, the complex physics of Josephson junctions and the lack of proper EDA tools causes slow progress in the field of superconducting electronics. In this work we introduce a new open source program named JOsephson INter… ▽ More

    Submitted 16 February, 2020; v1 submitted 26 September, 2019; originally announced September 2019.

    Comments: 7 pages, 13 figures