Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

Showing 1–8 of 8 results for author: Kishinevsky, M

Searching in archive cs. Search in all archives.
.
  1. arXiv:2303.15280  [pdf, other

    cs.AR

    Machine Learning for Microprocessor Performance Bug Localization

    Authors: Erick Carvajal Barboza, Mahesh Ketkar, Michael Kishinevsky, Paul Gratz, Jiang Hu

    Abstract: The validation process for microprocessors is a very complex task that consumes substantial engineering time during the design process. Bugs that degrade overall system performance, without affecting its functional correctness, are particularly difficult to debug given the lack of a golden reference for bug-free performance. This work introduces two automated performance bug localization methodolo… ▽ More

    Submitted 27 March, 2023; originally announced March 2023.

    Comments: 12 pages, 6 figures

  2. arXiv:2302.12779  [pdf, other

    cs.AR

    Machine Learning-based Low Overhead Congestion Control Algorithm for Industrial NoCs

    Authors: Shruti Yadav Narayana, Sumit K. Mandal, Raid Ayoub, Michael Kishinevsky, Umit Y. Ogras

    Abstract: Network-on-Chip (NoC) congestion builds up during heavy traffic load and cripples the system performance by stalling the cores. Moreover, congestion leads to wasted link bandwidth due to blocked buffers and bouncing packets. Existing approaches throttle the cores after congestion is detected, reducing efficiency and wasting line bandwidth unnecessarily. In contrast, we propose a lightweight machin… ▽ More

    Submitted 24 February, 2023; originally announced February 2023.

    Comments: The short version of the paper has been accepted in DATE'23

  3. arXiv:2108.09534  [pdf, other

    cs.PF math.PR

    Theoretical Analysis and Evaluation of NoCs with Weighted Round-Robin Arbitration

    Authors: Sumit K. Mandal, Jie Tong, Raid Ayoub, Michael Kishinevsky, Ahmed Abousamra, Umit Y. Ogras

    Abstract: Fast and accurate performance analysis techniques are essential in early design space exploration and pre-silicon evaluations, including software eco-system development. In particular, on-chip communication continues to play an increasingly important role as the many-core processors scale up. This paper presents the first performance analysis technique that targets networks-on-chip (NoCs) that emp… ▽ More

    Submitted 11 August, 2023; v1 submitted 21 August, 2021; originally announced August 2021.

    Comments: This paper is accepted in International Conference on Computer Aided Design (ICCAD), 2021

  4. arXiv:2011.08781  [pdf, other

    cs.AR cs.LG cs.PF

    Automatic Microprocessor Performance Bug Detection

    Authors: Erick Carvajal Barboza, Sara Jacob, Mahesh Ketkar, Michael Kishinevsky, Paul Gratz, Jiang Hu

    Abstract: Processor design validation and debug is a difficult and complex task, which consumes the lion's share of the design process. Design bugs that affect processor performance rather than its functionality are especially difficult to catch, particularly in new microarchitectures. This is because, unlike functional bugs, the correct processor performance of new microarchitectures on complex, long-runni… ▽ More

    Submitted 19 November, 2020; v1 submitted 17 November, 2020; originally announced November 2020.

    Comments: 14 pages, 13 figures, to appear in the 27th International Symposium on High-Performance Computer Architecture (HPCA 2021)

  5. arXiv:2008.09728  [pdf, other

    cs.DC cs.AI cs.LG eess.SY

    Online Adaptive Learning for Runtime Resource Management of Heterogeneous SoCs

    Authors: Sumit K. Mandal, Umit Y. Ogras, Janardhan Rao Doppa, Raid Z. Ayoub, Michael Kishinevsky, Partha P. Pande

    Abstract: Dynamic resource management has become one of the major areas of research in modern computer and communication system design due to lower power consumption and higher performance demands. The number of integrated cores, level of heterogeneity and amount of control knobs increase steadily. As a result, the system complexity is increasing faster than our ability to optimize and dynamically manage th… ▽ More

    Submitted 21 August, 2020; originally announced August 2020.

    Comments: This paper appeared in the Proceedings of Design Automation Conference 2020

  6. arXiv:2008.03904  [pdf, other

    cs.PF

    Performance Analysis of Priority-Aware NoCs with Deflection Routing under Traffic Congestion

    Authors: Sumit K. Mandal, Anish Krishnakumar, Raid Ayoub, Michael Kishinevsky, Umit Y. Ogras

    Abstract: Priority-aware networks-on-chip (NoCs) are used in industry to achieve predictable latency under different workload conditions. These NoCs incorporate deflection routing to minimize queuing resources within routers and achieve low latency during low traffic load. However, deflected packets can exacerbate congestion during high traffic load since they consume the NoC bandwidth. State-of-the-art ana… ▽ More

    Submitted 8 November, 2020; v1 submitted 10 August, 2020; originally announced August 2020.

    Comments: This article is in the Proceedings of ICCAD 2020

  7. arXiv:2007.13951  [pdf, other

    cs.PF

    Analytical Performance Modeling of NoCs under Priority Arbitration and Bursty Traffic

    Authors: Sumit K. Mandal, Raid Ayoub, Michael Kishinevsky, Mohammad M. Islam, Umit Y. Ogras

    Abstract: Networks-on-Chip (NoCs) used in commercial many-core processors typically incorporate priority arbitration. Moreover, they experience bursty traffic due to application workloads. However, most state-of-the-art NoC analytical performance analysis techniques assume fair arbitration and simple traffic models. To address these limitations, we propose an analytical modeling technique for priority-aware… ▽ More

    Submitted 27 July, 2020; originally announced July 2020.

    Comments: This paper will appear in a future issue of IEEE Embedded Systems Letters

  8. arXiv:1908.02408  [pdf, other

    cs.PF eess.SY

    Analytical Performance Models for NoCs with Multiple Priority Traffic Classes

    Authors: Sumit K. Mandal, Raid Ayoub, Michael Kishinevsky, Umit Y. Ogras

    Abstract: Networks-on-chip (NoCs) have become the standard for interconnect solutions in industrial designs ranging from client CPUs to many-core chip-multiprocessors. Since NoCs play a vital role in system performance and power consumption, pre-silicon evaluation environments include cycle-accurate NoC simulators. Long simulations increase the execution time of evaluation frameworks, which are already noto… ▽ More

    Submitted 3 January, 2020; v1 submitted 6 August, 2019; originally announced August 2019.

    Comments: This article will appear as part of the ESWEEK-TECS special issue and will be presented in the International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES) 2019