Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/3306619acmconferencesBook PagePublication PagesnocsConference Proceedingsconference-collections
NOCS '18: Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip
2018 Proceeding
Publisher:
  • IEEE Press
Conference:
NOCS '18: International Symposium on Networks-on-Chip Torino Italy October 4 - 5, 2018
ISBN:
978-1-5386-4893-3
Published:
04 October 2018
Sponsors:
In-Cooperation:
IEEE-CAS

Reflects downloads up to 14 Oct 2024Bibliometrics
Skip Abstract Section
Abstract

Welcome to the 12th IEEE/ACM International Symposium on Networks-on-Chip (NOCS)! NOCS is the premier event dedicated to interdisciplinary research on Networks-on-Chip innovations. The aim of this symposium is to provide basic, comprehensive and fundamental knowledge in all related areas of Network-on-Chip (NoC) paradigm including, but not limited to, NoC architecture, optimization, power management, emerging technologies, CAD algorithms for NoCs, as well as networking aspects of computing architectures such as the off-chip and racklevel communication. As a forum, the conference informs the attendees about the state-of-theart of various well-established and emerging aspects of NoC design together with a broad range of topics dealing with multicore/manycore chip related research areas of primary importance.

Skip Table Of Content Section
research-article
NoC-Based support of heterogeneous cache-coherence models for accelerators
Article No.: 1, Pages 1–8

On-chip shared memory is the primary paradigm for multi-core SoC designs and poses the most critical challenges to their scalability. Choosing the appropriate coherence model for accelerators not only can improve the overall system performance, but can ...

research-article
Exploration of memory and cluster modes in directory-based many-core CMPs
Article No.: 2, Pages 1–8

Networks-on-chip have become the standard interconnect solution to address the communication requirements of many-core chip multiprocessors. It is well-known that network performance and power consumption depend critically on the traffic load. The ...

research-article
Accurate congestion control for RDMA transfers
Article No.: 3, Pages 1–8

High-performance interconnects need congestion control to deal with traffic bursts. In this paper, we propose ACCurate, a congestion control protocol that assigns exact max-min fair rates to flows, without relying on costly per-flow state inside the ...

research-article
Testing WiNoC-enabled multicore chips with BIST for wireless interconnects
Article No.: 4, Pages 1–8

Complex multicore Systems-on-Chips (SoCs) require large testing time and consume high amounts of power during post manufacturing test. With the advent of Network-on-Chip (NoC) based interconnections, the NoC has been envisioned to be reused as the Test ...

research-article
Towards energy-efficient high-throughput photonic NoCs for 2.5D integrated systems: a case for AWGRs
Article No.: 5, Pages 1–8

Silicon Photonics (SiPs) can overcome the energy and bandwidth limitations of electrical interconnects in networks-on-chip (NoCs) and enable efficient global all-to-all connectivity-the ideal from a performance perspective. Unfortunately, state-of-the-...

research-article
AxNoC: low-power approximate network-on-chips using critical-path isolation
Article No.: 6, Pages 1–8

Various parallel applications, such as numerical convergent computation and multimedia processing, have intrinsic tolerance to inaccuracies that allow soft errors, i.e. bit flips, on a chip. However, existing Network-on-Chips (NoCs) guarantee error-free ...

research-article
DAPPER: data aware approximate NoC for GPGPU architectures
Article No.: 7, Pages 1–8

High interconnect bandwidth is crucial to achieve better performance in many-core GPGPU architectures that execute highly data parallel applications. The parallel warps of threads running on shader cores generate a high volume of read requests to the ...

research-article
FreewayNoC: a DDR NoC with pipeline bypassing
Article No.: 8, Pages 1–8

This paper introduces FreewayNoC, a Network-on-chip that routes packets at Dual Data Rate (DDR) and allows pipeline bypassing. Based on the observation that routers datapath is faster than control, a recent NoC design allowed flits to be routed at DDR ...

research-article
Brownian bubble router: enabling deadlock freedom via guaranteed forward progress
Article No.: 9, Pages 1–8

Deadlocks are a bane for network designers, be it a Network on Chip (NoC) in a multi-core or a large scale HPC/datacenter network. A routing deadlock occurs when there is a cyclic dependence between the buffers of network routers. Most modern systems ...

research-article
Abetting planned obsolescence by aging 3D networks-on-chip
Article No.: 10, Pages 1–8

We set up a security analysis framework by aging the Network-on-Chip (NoC) to study planned obsolescence by the original equipment manufacturer (OEM). An NoC is the communication backbone in a manycore System-on-Chip (SoC). Planned obsolescence may ...

research-article
A low-overhead multicast bufferless router with reconfigurable Banyan network
Article No.: 11, Pages 1–8

In modern Multi-Processors System-on-Chip (MP-SoC), it is highly desirable to provide hardware support for efficient multicast traffic. Recently, bufferless router has become a promising solution for NoC due to its simplicity and low overhead. However, ...

research-article
Critical packet prioritisation by slack-aware re-routing in on-chip networks
Article No.: 12, Pages 1–8

Packet based Network-on-Chip (NoC) connect tens to hundreds of components in a multi-core system. The routing and arbitration policies employed in traditional NoCs treat all application packets equally. However, some packets are critical as they stall ...

research-article
A diversity scheme to enhance the reliability of wireless NoC in multipath channel environment
Article No.: 13, Pages 1–8

Wireless Network-on-Chip (WiNoC) is one of the most promising solutions to overcome multi-hop latency and high power consumption of modern many/multi core System-on-Chip (SoC). However, the design of efficient wireless links faces challenges to overcome ...

research-article
Securing NoCs against timing attacks with non-interference based adaptive routing
Article No.: 14, Pages 1–8

Timing channel attacks use interference from contending application flows to cause information leakage, and thereby either covertly transmit secrets, or create Denial-of-Service (DoS) attacks to undermine the on-chip hardware security. Protecting ...

research-article
Securing photonic NoC architectures from hardware trojans
Article No.: 15, Pages 1–8

The compact size and high wavelength selectivity of microring resonators (MRs) enable photonic networks-on-chip (PNoCs) to utilize dense-wavelength-division-multiplexing (DWDM) in photonic waveguides to attain high bandwidth on-chip data transfers. A ...

research-article
Architecting a secure wireless network-on-chip
Article No.: 16, Pages 1–8

With increasing integration in SoCs, the Network-on-Chip (NoC) connecting cores and accelerators is of paramount importance to provide low-latency and high-throughput communication. Due to limits to scaling of electrical wires in terms of energy and ...

research-article
Exploiting dark cores for performance optimization via patterning for many-core chips in the dark silicon era
Article No.: 17, Pages 1–8

All the cores of a many-core chip cannot be active at the same time, due to reasons like low CPU utilization in server systems and limited power budget in dark silicon era. These free cores (referred to as bubbles) can be placed near active cores for ...

research-article
Reconfigurable network-on-chip for 3D neural network accelerators
Article No.: 18, Pages 1–8

Parallel hardware accelerators for large-scale neural networks typically consist of several processing nodes, arranged as a multi- or many-core system-on-chip, connected by a network-on-chip (NoC). Recent proposals also benefit from the emerging 3D ...

research-article
Accurate channel models for realistic design space exploration of future wireless NoCs
Article No.: 19, Pages 1–8

Wireless Networks-on-Chip (WiNoC) are being explored for parallel applications to improve the performances by reducing the long distance/critical path communications. However, WiNoC still require precise propagation models to go beyond proof of concept ...

research-article
Channel characterization for chip-scale wireless communications within computing packages
Article No.: 20, Pages 1–8

Wireless Network-on-Chip (WNoC) appears as a promising alternative to conventional interconnect fabrics for chip-scale communications. WNoC takes advantage of an overlaid network composed by a set of millimeter-wave antennas to reduce latency and ...

research-article
On-chip wireless channel propagation: impact of antenna directionality and placement on channel performance
Article No.: 21, Pages 1–8

Long range, low latency wireless links in Networks-on-Chip (NoCs) have been shown to be the most promising solution to provide high performance intra/inter-chip communication in many core era. Significant advancements have been made in design of both ...

research-article
Approximate arithmetic circuits and their applications
Article No.: 22, Page 1

The demand of higher speed and power efficiency, as well as the feature of error resilience in many applications (e.g., multimedia, recognition and data analytics), have driven the development of approximate computing circuits. Often as the most ...

research-article
Approximate networks on chip
Article No.: 23, Page 1

The trend of unsustainable power cosumption and large memory bandwidth demands in massively parallel multicore systems, with the advent of the big data era, has brought upon the onset of alternate computation paradigms utilizing heterogeneity, ...

research-article
Challenges and opportunities for edge cloud architectures
Article No.: 24, Page 1

Distributed "edge" clouds provide the performance and security attributes to fill the cloud gap. The talk will cover the challenges and opportunities in bringing cloud to the comms infrastructure. "Edge Cloud" architectures are emerging as an area of ...

research-article
Co-design and abstraction of a network-on-chip using deterministic network calculus
Article No.: 25, Page 1

Network-on-Chip (NoC) is the dominant paradigm for on-chip interconnects. Two approaches for architecting a NoC can be distinguished. One is the generalization of bus-based interconnects inside a SoC, where transactions are associated with memory ...

Contributors
  • Intel Corporation
  • Hong Kong University of Science and Technology
  • University of Southern California

Index Terms

  1. Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Acceptance Rates

        Overall Acceptance Rate 14 of 44 submissions, 32%
        YearSubmittedAcceptedRate
        NOCS '17441432%
        Overall441432%