Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

TWiCe: Time Window Counter Based Row Refresh to Prevent Row-Hammering

Published: 01 January 2018 Publication History

Abstract

Computer systems using DRAM are exposed to row-hammering attacks, which can flip data in a DRAM row without directly accessing a row but by frequently activating its adjacent ones. There have been a number of proposals to prevent row-hammering, but they either incur large area/performance overhead or provide probabilistic protection. In this paper, we propose a new row-hammering mitigation mechanism named Time Window C ounter based row refresh (TWiCe) which prevents row-hammering by using a small number of counters without performance overhead. We first make a key observation that the number of rows that can cause flipping their adjacent ones (aggressor candidates) is limited by the maximum values of row activation frequency and DRAM cell retention time. TWiCe exploits this limit to reduce the required number of counter entries by counting only actually activated DRAM rows and periodically invalidating the entries that are not activated frequently enough to be an aggressor. We calculate the maximum number of required counter entries per DRAM bank, with which row-hammering prevention is guaranteed. We further improve energy efficiency by adopting a pseudo-associative cache design to TWiCe. Our analysis shows that TWiCe incurs no performance overhead on normal DRAM operations and less than 0.7 percent area and energy overheads over contemporary DRAM devices.

References

[1]
. 2011. {Online}. Available: https://www.eda.ncsu.edu/wiki/FreePDK45:Contents
[2]
M. T. Aga, Z. B. Aweke, and T. Austin, “When good protections go bad: Exploiting anti-DoS measures to accelerate rowhammer attacks,” in Proc. IEEE Int. Symp. Hardware Oriented Secur. Trust, 2017, pp. 8–13.
[3]
I. Bhati, M.-T. Chang, Z. Chishti, S.-L. Lu, and B. Jacob, “DRAM refresh mechanisms, penalties, and trade-offs,” IEEE Trans. Comput., vol. Volume 65, no. Issue 1, pp. 108–121, 2016.
[4]
M. Huang, J. Renau, S.-M. Yoo, and J. Torrellas, “L1 data cache decomposition for energy efficiency,” in Proc. Int. Symp. Low Power Electron. Des., 2001, pp. 10–15.
[5]
, “Xeon Processor E5 v3 Product Family: Specification Update,” 2017.
[6]
, DDR4 SDRAM Specification, JESD79-4B, 2012.
[7]
, Low Power Double Data Rate 4 (LPDDR4), JESD209-4B, 2014.
[8]
D.-H. Kim, P. J. Nair, and M. K. Qureshi, “Architectural support for mitigating row hammering in DRAM memories,” IEEE Comput. Archit. Lett., vol. Volume 14, no. Issue 1, pp. 9–12, 2015.
[9]
Y. Kim et al., “Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors,” in Proc. ACM/IEEE 41st Int. Symp. Comput. Archit., 2014, pp. 361–372.
[10]
, “DDR4 SDRAM system-power calculator,” 2016, https://www.micron.com/~/media/documents/products/power-calculator/ddr4_power_calc.xlsm
[11]
O. Mutlu, “The RowHammer problem and other issues we may face as memory becomes denser,” in Proc. Des. Autom. Test Europe Conf. Exhib., 2017, pp. 1116–1121.
[12]
K. Park, C. Lim, D. Yun, and S. Baeg, “Experiments and root cause analysis for active-precharge hammering fault in DDR3 SDRAM under 3<inline-formula><tex-math notation=LaTeX>$\times$</tex-math><alternatives><inline-graphic/></alternatives></inline-formula> nm technology,” Microelectron. Rel., vol. Volume 57, pp. 39–46, 2016.
[13]
K. Razavi, B. Gras, E. Bosman, B. Preneel, C. Giuffrida, and H. Bos, “Flip Feng Shui: Hammering a needle in the software stack,” in Proc. USENIX Secur. Symp., 2016, pp. 1–18.
[14]
S. M. Seyedzadeh, A. K. Jones, and R. Melhem, “Counter-based tree structure for row hammering mitigation in DRAM,” IEEE Comput. Archit. Lett., vol. Volume 16, no. Issue 1, pp. 18–21, 2017.
[15]
M. Son, H. Park, J. Ahn, and S. Yoo, “Making DRAM stronger against row hammering,” in Proc. 54th ACM/EDAC/IEEE Des. Autom. Conf., 2017, pp. 1–6.
[16]
V. van der Veen et al., “Drammer: Deterministic rowhammer attacks on mobile platforms,” in Proc. ACM SIGSAC Conf. Comput. Commun. Secur., 2016, pp. 1675–1689.

Cited By

View all
  • (2023)How to Kill the Second Bird with One ECC: The Pursuit of Row Hammer Resilient DRAMProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3623777(986-1001)Online publication date: 28-Oct-2023
  • (2023)DRAM Bender: An Extensible and Versatile FPGA-Based Infrastructure to Easily Test State-of-the-Art DRAM ChipsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.328217242:12(5098-5112)Online publication date: 1-Dec-2023
  • (2020)Generating Representative Test Sequences from Real Workload for Minimizing DRAM Verification OverheadACM Transactions on Design Automation of Electronic Systems10.1145/339189125:4(1-23)Online publication date: 27-May-2020
  • Show More Cited By

Index Terms

  1. TWiCe: Time Window Counter Based Row Refresh to Prevent Row-Hammering
    Index terms have been assigned to the content through auto-classification.

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image IEEE Computer Architecture Letters
    IEEE Computer Architecture Letters  Volume 17, Issue 1
    January 2018
    99 pages

    Publisher

    IEEE Computer Society

    United States

    Publication History

    Published: 01 January 2018

    Qualifiers

    • Research-article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 22 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)How to Kill the Second Bird with One ECC: The Pursuit of Row Hammer Resilient DRAMProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3623777(986-1001)Online publication date: 28-Oct-2023
    • (2023)DRAM Bender: An Extensible and Versatile FPGA-Based Infrastructure to Easily Test State-of-the-Art DRAM ChipsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.328217242:12(5098-5112)Online publication date: 1-Dec-2023
    • (2020)Generating Representative Test Sequences from Real Workload for Minimizing DRAM Verification OverheadACM Transactions on Design Automation of Electronic Systems10.1145/339189125:4(1-23)Online publication date: 27-May-2020
    • (2020)RowHammer: A RetrospectiveIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.291531839:8(1555-1571)Online publication date: 16-Jul-2020
    • (2019)Pinpoint RowhammerProceedings of the 2019 ACM Asia Conference on Computer and Communications Security10.1145/3321705.3329811(549-560)Online publication date: 2-Jul-2019
    • (2019)TWiCeProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322232(385-396)Online publication date: 22-Jun-2019

    View Options

    View options

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media