Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2024724.2024908acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

MO-pack: many-objective clustering for FPGA CAD

Published: 05 June 2011 Publication History

Abstract

Applications targeting FPGA integrated systems impose strict energy, channel width and delay constraints. We introduce the first many-objective clustering, MO-Pack, that targets these performance metrics concurrently. Detailed performance comparisons over state of the art clustering strategies targeting energy (P-T-VPack), delay (T-VPack), channel width (iRAC), and timing and routability (T-RPack) show that MO-Pack achieves its goals without increasing the logic area.

References

[1]
Betz, V., and Rose, J. 1997. VPR: A new packing, placement and routing tool for FPGA research. In Proceedings of the 7th International Workshop on Field-Programmable Logic and Applications (London, UK, September 1--3, 1997). FPL '97. Wayne Luk, Peter Y. K. Cheung, and Manfred Glesner (Eds.). Springer-Verlag, London, UK, 213--222. DOI=http://dx.doi.org/10.1007/3-540-63465-7_226
[2]
Bozorgzadeh, E., Memik, S., Yang, X., and Sarrafzadeh, M., 2004. Routability-driven Packing: Metrics and Algorithms for Cluster based FPGAs, In J. of Circuits, Systems and Computers. 13, 1 (Feb. 2004), 77--100.
[3]
Chen, G. and Cong, J. 2004. Simultaneous placement with clustering and duplication. In Proceedings of the 41st annual Design Automation Conference (San Diego, CA June 7--11, 2004) DAC '04. ACM, New York, NY, USA, 740--772. DOI=http://doi.acm.org/10.1145/996566.1142989
[4]
Chen, D., Cong, J., Dong, C., He, L., Li, F., and Peng, C. 2010. Technology Mapping and Clustering for FPGA Architectures With Dual Supply Voltages. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 29, 11. (Nov. 2010), 1709--1722. DOI=http://dx.doi.org/10.1109/TCAD.2010.2061770
[5]
Chen, D., Vorwerk, K., and Kennings, A. 2007. Improving Timing-Driven FPGA Packing with Physical Information. In Proceedings of Field Programmable Logic and Applications (Amsterdam, Netherlands, August 27--29 2007). FPL 2007. IEEE Press, Piscataway, NJ, USA. 117--123. DOI=http://dx.doi.org/10.1109/FPL.2007.4380635
[6]
Cong, J., and Ding, Y. 1994. FlowMap: An Optimal Technology Mapping Algorithm for Delay Optimization in Lookup-Table Based FPGA Designs. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Syst. 13, 1 (Jan. 1994), 1--12. DOI=http://dx.doi.org/10.11 09/43.273754
[7]
Cong, J., and Minkovich, K. 2006. Optimality study of logic synthesis for LUT-based FPGAs. In Proc. of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays (Monterey, CA, February 21--23, 2010). FPGA '06. ACM, New York, NY, USA, 33--40. DOI=http://doi.acm.org/10.1145/1117201.1117207
[8]
Cong, J., and Romesis, M. 2001. Performance-driven multilevel clustering with application to hierarchical FPGA mapping. In Proceedings of the 38th annual Design Automation Conference (Las Vegas, NV, June 18--22, 2001). DAC '01. ACM, New York, NY, USA, 389--394. DOI=http://doi.acm.org/10.1145/378239.378532
[9]
Das, J., Wilton, S. J. E., Leong, P., and Luk, W. 2009. Modeling post-techmapping and post-clustering FPGA circuit depth. In Proc. International Conf. on Field Programmable Logic and Applications. (Prague, Czech Republic, Aug. 31 2009--Sept. 2 2009) FPL 2009. IEEE Press, Piscataway, NJ, 205--211. DOI=http://dx.doi.org/10.1109/FPL.2009.5272315
[10]
Lamoureux, J., and Wilton, S. 2003. On the Interaction Between Power-Aware FPGA CAD Algorithms. In Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design. (San Jose, CA, USA, November 9--13, 2003). ICCAD '03. IEEE Computer Society, Washington, DC, USA, 701--708. DOI=http://dx.doi.org/10.1109/ICCAD.2003.106
[11]
Liu, H. and Akoglu, A. 2010. Timing-driven nonuniform depopulation-based clustering. Int. J. Reconfig. Comput. 2010, Article 3 (Jan. 2010), 1--11. DOI=http://dx.doi.org/10.1155/2010/158602
[12]
Liu, Y., Jiang, X., Sun, S. and Wang, G. 2009. An efficient FPGA packing algorithm based on simple dual-output basic logic elements. In the Proceedings of IEEE 8th International Conference on ASIC (Changsha, China, October 20--23, 2009) ASICON '09. IEEE Press, Piscataway, NJ, USA. 690--693 DOI= http://dx.doi.org/10.1109/ASICON.2009.5351300
[13]
Marquardt, A., Betz, V., and Rose, J. 1999. Using cluster-based logic blocks and timing-driven packing to improve FPGA speed and density. In Proc. of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays (FPGA '99). ACM, NY, USA, 37--46. DOI=http://doi.acm.org/10.1145/296399.296426
[14]
Marrakchi, Z., Mrabet, H., and Mehrez, H. 2005. Hierarchical FPGA Clustering Based on a Multilevel Partitioning Approach to Improve Routability and Reduce Power Dissapation. In Proceedings of the 2005 International Conference on Reconfigurable Computing and FPGAs(Puebla City, Mexico, September 28--30, 2005). RECONFIG '05. IEEE Computer Society, Washington, DC, USA, 25--28. DOI= http://dx.doi.org/10.1109/RECONFIG.2005.23
[15]
Pandit, A., and Akoglu. A. 2007. Net Length based Routability Driven Packing. In the Proceedings of International Conference on Field-Programmable Technology, 2007. (Kitakyushu, Japan, December 12--14 2007). ICFPT 2007. IEEE Press, Piscataway, NJ, 225--232. DOI= http://dx.doi.org/10.1109/FPT.2007.4439253
[16]
Poon, K. K., Wilton, S. J., and Yan, A. 2005. A detailed power model for field-programmable gate arrays. ACM Trans. Des. Autom. Electron. Syst. 10, 2 (Apr. 2005), 279--302. DOI= http://doi.acm.org/10.1145/1059876.1059881.
[17]
Singh, A., Parthasarathy, G., and Marek-Sadowska, M. 2002. Efficient circuit clustering for area and power reduction in FPGAs. ACM Trans. Des. Autom. Electron. Syst. 7, 4 (Oct. 2002), 643--663. DOI=http://doi.acm.org/10.1145/605440.605448
[18]
Tom, M., Leong, D., and Lemieux, G. 2006. Un/DoPack: re-clustering of large system-on-chip designs with interconnect variation for low-cost FPGAs. In Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design. (San Jose, CA, USA, November 5--9, 2006). ICCAD '06. ACM, New York, NY, USA, 680--687.D OI=http://doi.acm.org/10.1145/1233501.123364

Cited By

View all
  • (2024)An effective routability-driven packing algorithm for large-scale heterogeneous FPGAsIntegration10.1016/j.vlsi.2023.10209894(102098)Online publication date: Jan-2024
  • (2024)Physical ImplementationFPGA EDA10.1007/978-981-99-7755-0_10(165-206)Online publication date: 1-Feb-2024
  • (2023)Breaking Boundaries: Optimizing FPGA CAD with Flexible and Multi-threaded Re-ClusteringProceedings of the 13th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies10.1145/3597031.3597054(11-18)Online publication date: 14-Jun-2023
  • Show More Cited By

Index Terms

  1. MO-pack: many-objective clustering for FPGA CAD

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '11: Proceedings of the 48th Design Automation Conference
    June 2011
    1055 pages
    ISBN:9781450306362
    DOI:10.1145/2024724
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 05 June 2011

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. clustering
    2. computer aided design (CAD)
    3. energy optimization
    4. field programmable gate arrays [FPGA]
    5. performance trade-offs
    6. routability

    Qualifiers

    • Research-article

    Conference

    DAC '11
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)6
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 22 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)An effective routability-driven packing algorithm for large-scale heterogeneous FPGAsIntegration10.1016/j.vlsi.2023.10209894(102098)Online publication date: Jan-2024
    • (2024)Physical ImplementationFPGA EDA10.1007/978-981-99-7755-0_10(165-206)Online publication date: 1-Feb-2024
    • (2023)Breaking Boundaries: Optimizing FPGA CAD with Flexible and Multi-threaded Re-ClusteringProceedings of the 13th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies10.1145/3597031.3597054(11-18)Online publication date: 14-Jun-2023
    • (2022)An Incremental Placement Flow for Advanced FPGAs With Timing AwarenessIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312007041:9(3092-3103)Online publication date: Sep-2022
    • (2022)An Effective Routability-Driven Packing Algorithm for Large-Scale Heterogeneous FPGAs2022 IEEE 4th International Conference on Circuits and Systems (ICCS)10.1109/ICCS56666.2022.9936463(1-6)Online publication date: 23-Sep-2022
    • (2021)A-Part: Top-Down Clustering Approach for Mesh of Clusters FPGAIntelligent Systems Design and Applications10.1007/978-3-030-71187-0_39(425-434)Online publication date: 3-Jun-2021
    • (2020)Clock-Aware Placement for Large-Scale Heterogeneous FPGAsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.296889239:12(5042-5055)Online publication date: Dec-2020
    • (2019)Simultaneous Placement and Clock Tree Construction for Modern FPGAsProceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays10.1145/3289602.3293897(132-141)Online publication date: 20-Feb-2019
    • (2019)A New Paradigm for FPGA Placement Without Explicit PackingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.287701738:11(2113-2126)Online publication date: Nov-2019
    • (2019)Exploration of Clustering Algorithms effects on Mesh of Clusters based FPGA Architecture Performance2019 International Conference on High Performance Computing & Simulation (HPCS)10.1109/HPCS48598.2019.9188138(658-665)Online publication date: Jul-2019
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media