Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2380403.2380427acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

A low-overhead interconnect architecture for virtual reconfigurable fabrics

Published: 07 October 2012 Publication History

Abstract

Field-programmable gate arrays (FPGAs) have been widely shown to have significant performance and power advantages compared to microprocessors and graphics-processing units (GPUs), but remain a niche technology due in part to productivity challenges. Although such challenges have numerous causes, previous work has shown two significant contributing factors: 1) prohibitive place-and-route times preventing mainstream design methodologies, and 2) limited application portability preventing design reuse. Virtual reconfigurable architectures, referred to as intermediate fabrics (IFs), were recently introduced as a potential solution to these problems, providing 100x-1000x place-and-route speedup, while also enabling application portability across potentially any physical FPGA. However, one significant limitation of existing intermediate fabrics is area overhead incurred from virtualized interconnect resources. In this paper, we perform design-space exploration of virtual interconnect architectures and introduce an optimized virtual interconnect that reduces area overhead by 48% to 54% compared to previous work, while also improving clock frequencies by 24% with a modest routability overhead of 16%.

References

[1]
P. Athanas, J. Bowen, T. Dunham, C. Patterson, J. Rice, M. Shelburne, J. Suris, M. Bucciero, and J. Graf, "Wires on demand: Run-time communication synthesis for reconfigurable computing," in FPL '07: International Conference on Field Programmable Logic and Applications, Aug. 2007, pp. 513--516.
[2]
Z. Baker, M. Gokhale, and J. Tripp. "Matched filter computation on fpga, cell and gpu," In Field-Programmable Custom Computing Machines, 2007. FCCM 2007. 15th Annual IEEE Symposium on, 2007, pp. 207--218.
[3]
J. Becker, T. Pionteck, C. Habermann, and M. Glesner, "Design and implementation of a coarse-grained dynamically reconfigurable hardware architecture," in VLSI '01: Proceedings of IEEE Computer Society Workshop on VLSI, May 2001, pp. 41--46.
[4]
V. Betz and J. Rose, "VPR: A new packing, placement and routing tool for FPGA research," in FPL '97: Proceedings of the 7th International Workshop on Field-Programmable Logic and Applications. London, UK: Springer-Verlag, 1997, pp. 213--222.
[5]
A. Brant and G. Lemieux. "XUMA: An open FPGA overlay architecture", In FCCM '12: Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2012.
[6]
T. J. Callahan, P. Chong, A. DeHon, and J. Wawrzynek, "Fast module mapping and placement for datapaths in FPGAs," in FPGA '98: Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays. New York, NY, USA: ACM, 1998, pp. 123--132.
[7]
K. Compton and S. Hauck, "Totem: Custom reconfigurable array generation," in FCCM'01: Proceedings of the the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines 2001, pp. 111--119.
[8]
J. Coole and G. Stitt. "Intermediate fabrics: Virtual architectures for circuit portability and fast placement and routing." In CODES/ISSS '10: Proceedings of the IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, 2010, pp. 13--22.
[9]
A. DeHon, "The density advantage of configurable computing," Computer, vol. 33, no. 4, pp. 41--49, 2000.
[10]
C. Ebeling, D. C. Cronquist, and P. Franklin, "Rapid - reconfigurable pipelined datapath," in FPL '96: Proceedings of the 6th International Workshop on Field-Programmable Logic,Smart Applications, New Paradigms and Compilers. London, UK: Springer-Verlag, 1996, pp. 126--135.
[11]
K. Eguro and S. Hauck, "Armada: timing-driven pipeline-aware routing for FPGAs," in FPGA '06: Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays, 2006, pp. 169--178.
[12]
J. Fowers, G. Brown, P. Cooke, and G. Stitt. "A performance and energy comparison of FPGAs, GPUs, and multicores for sliding-window applications", In FPGA '12: Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays, FPGA'12, 2012, pp. 47--56.
[13]
D. Grant, C. Wang, and G. G. Lemieux. "A CAD framework for Malibu: an FPGA with time-multiplexed coarse-grained elements", In Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays, FPGA '11, 2011, pp. 123--132.
[14]
M. Hammerquist and R. Lysecky, "Design space exploration for application specific FPGAs in system-on-a-chip designs," in SOC '08: Proceedings of the IEEE International SOC Conference, Sept. 2008, pp. 279--282.
[15]
N. Kapre, N. Mehta, M. deLorimier, R. Rubin, H. Barnor, M. J. Wilson, M. Wrighton, and A. DeHon, "Packet-switched vs. time-multiplexed FPGA overlay networks," in Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2006.
[16]
A. Koch, "Structured design implementation: a strategy for implementing regular datapaths on FPGAs," in FPGA '96: Proceedings of the 1996 ACM fourth international symposium on Field-programmable gate arrays, 1996, pp. 151--157.
[17]
C. Lavin, M. Padilla, J. Lamprecht, P. Lundrigan, B. Nelson, and B. Hutchings. "HMFlow: Accelerating FPGA compilation with hard macros for rapid prototyping", In Field-Programmable Custom Computing Machines (FCCM), 2011 IEEE 19th Annual International Symposium on, 2011, pp. 117 --124.
[18]
R. Lysecky, K. Miller, F. Vahid, and K. Vissers. "Firm-core virtual fpga for just-in-time fpga compilation", In Proceedings of the 2005 ACM/SIGDA 13th international symposium on Field-programmable gate arrays, FPGA '05, 2005, pp. 271--271.
[19]
R. Lysecky, F. Vahid, and S. X.-D. Tan, "Dynamic fpga routing for just-in-time FPGA compilation," in DAC '04: Proceedings of the 41st Annual Conference on Design Automation, 2004, pp. 954--959.
[20]
R. Lysecky, F. Vahid, and S. X. D. Tan, "A study of the scalability of on-chip routing for just-in-time FPGA compilation," in FCCM '05: Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2005, pp. 57--62.
[21]
A. Marshall, T. Stansfield, I. Kostarnov, J. Vuillemin, and B. Hutchings, "A reconfigurable arithmetic array for multimedia applications," in FPGA '99: Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, 1999, pp. 135--143.
[22]
L. McMurchie and C. Ebeling, "Pathfinder: a negotiation-based performance-driven router for FPGAs," in FPGA '95: Proceedings of the 1995 ACM Third International Symposium on Field Programmable Gate Arrays, 1995, pp. 111--117.
[23]
C. Mulpuri and S. Hauck, "Runtime and quality tradeoffs in FPGA placement and routing," in FPGA '01: Proceedings of the 2001 ACM/SIGDA Ninth International Symposium on Field Programmable Gate Arrays, 2001, pp. 29--36.
[24]
B. E. Nelson, M. J. Wirthlin, B. L. Hutchings, P. M. Athanas, and S. Bohner, "Design productivity for configurable computing," in ERSA '08: Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, 2008, pp. 57--66.
[25]
M. Owaida, N. Bellas, K. Daloukas, and C. Antonopoulos. "Synthesis of platform architectures from opencl programs", In Field-Programmable Custom Computing Machines (FCCM), 2011 IEEE 19th Annual International Symposium on, 2011, pp. 186--193.
[26]
A. Papakonstantinou, K. Gururaj, J. Stratton, D. Chen, J. Cong, and W.-M. Hwu. "FCUDA: Enabling efficient compilation of cuda kernels onto fpgas", In Application Specific Processors, 2009. SASP '09. IEEE 7th Symposium on, 2009, pp. 35--42.
[27]
K. Pauwels, M. Tomasi, J. Diaz Alonso, E. Ros, and M. Van Hulle. "A comparison of fpga and gpu for real-time phase-based optical flow, stereo, and local image features", Computers, IEEE Transactions on, PP(99):1, 2011.
[28]
J. Rose, J. Luu, C. W. Yu, O. Densmore, J. Goeders, A. Somerville, K. B. Kent, P. Jamieson, and J. Anderson. "The VTR project: architecture and cad for fpgas from verilog to routing", In Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays, FPGA '12, 2012, pp. 77--86.
[29]
L. Sekanina, Evolvable Systems: From Biology to Hardware. Springer Berlin / Heidelberg, 2003, ch. Virtual Reconfigurable Circuits for Real-World Applications of Evolvable Hardware, pp. 116--137.
[30]
S. Shukla, N. W. Bergmann, and J. Becker, "Quku: A two-level reconfigurable architecture," in ISVLSI '06: Proceedings of the IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures, 2006, p. 109.
[31]
G. Stitt and J. Coole. "Intermediate fabrics: Virtual architectures for near-instant FPGA compilation", Embedded Systems Letters, IEEE, 3(3):81 --84, sept. 2011.
[32]
W. Tsu, K. Macy, A. Joshi, R. Huang, N. Walker, T. Tung, O. Rowhani, V. George, J. Wawrzynek, and A. DeHon, "HSRA: high-speed, hierarchical synchronous reconfigurable array," in FPGA '99: Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays, 1999, pp. 125--134.
[33]
F. Vahid, G. Stitt, and R. Lysecky, "Warp processing: Dynamic translation of binaries to FPGA circuits," Computer, vol. 41, no. 7, pp. 40--46, July 2008.
[34]
J. Wang, Q. Chen, and C. Lee, "Design and implementation of a virtual reconfigurable architecture for different applications of intrinsic evolvable hardware," Computers & Digital Techniques, IET, vol. 2, no. 5, pp. 386--400, September 2008.
[35]
P. Yiannacouras, J. G. Steffan, and J. Rose. "Vespa: portable, scalable, and flexible fpga-based vector processors," In Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems (CASES), 2008, pp. 61--70.

Cited By

View all
  • (2021)MC-DeFACM Transactions on Architecture and Code Optimization10.1145/344797018:3(1-25)Online publication date: 14-Apr-2021
  • (2020)Revisiting the High-Performance Reconfigurable Computing for Future DatacentersFuture Internet10.3390/fi1204006412:4(64)Online publication date: 6-Apr-2020
  • (2019)An Integrated on-Silicon Verification Method for FPGA OverlaysJournal of Electronic Testing: Theory and Applications10.1007/s10836-019-05786-z35:2(173-189)Online publication date: 1-Apr-2019
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
CASES '12: Proceedings of the 2012 international conference on Compilers, architectures and synthesis for embedded systems
October 2012
230 pages
ISBN:9781450314244
DOI:10.1145/2380403
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 07 October 2012

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. fpga
  2. intermediate fabrics
  3. overlay networks
  4. placement and routing
  5. virtualization

Qualifiers

  • Research-article

Conference

ESWEEK'12
ESWEEK'12: Eighth Embedded System Week
October 7 - 12, 2012
Tampere, Finland

Acceptance Rates

Overall Acceptance Rate 52 of 230 submissions, 23%

Upcoming Conference

ESWEEK '24
Twentieth Embedded Systems Week
September 29 - October 4, 2024
Raleigh , NC , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)3
  • Downloads (Last 6 weeks)1
Reflects downloads up to 22 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2021)MC-DeFACM Transactions on Architecture and Code Optimization10.1145/344797018:3(1-25)Online publication date: 14-Apr-2021
  • (2020)Revisiting the High-Performance Reconfigurable Computing for Future DatacentersFuture Internet10.3390/fi1204006412:4(64)Online publication date: 6-Apr-2020
  • (2019)An Integrated on-Silicon Verification Method for FPGA OverlaysJournal of Electronic Testing: Theory and Applications10.1007/s10836-019-05786-z35:2(173-189)Online publication date: 1-Apr-2019
  • (2018)Rapid Triggering Capability Using an Adaptive Overlay during FPGA DebugACM Transactions on Design Automation of Electronic Systems10.1145/324104523:6(1-25)Online publication date: 6-Dec-2018
  • (2018)A Survey on FPGA Virtualization2018 28th International Conference on Field Programmable Logic and Applications (FPL)10.1109/FPL.2018.00031(131-1317)Online publication date: Aug-2018
  • (2016)Throughput oriented FPGA overlays using DSP blocksProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972187(1628-1633)Online publication date: 14-Mar-2016
  • (2016)Adapting the DySER Architecture with DSP Blocks as an Overlay for the Xilinx ZynqACM SIGARCH Computer Architecture News10.1145/2927964.292797043:4(28-33)Online publication date: 22-Apr-2016
  • (2016)DeCO: A DSP Block Based FPGA Accelerator Overlay with Low Overhead Interconnect2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)10.1109/FCCM.2016.10(1-8)Online publication date: May-2016
  • (2016)Are Coarse-Grained Overlays Ready for General Purpose Application Acceleration on FPGAs?2016 IEEE 14th Intl Conf on Dependable, Autonomic and Secure Computing, 14th Intl Conf on Pervasive Intelligence and Computing, 2nd Intl Conf on Big Data Intelligence and Computing and Cyber Science and Technology Congress(DASC/PiCom/DataCom/CyberSciTech)10.1109/DASC-PICom-DataCom-CyberSciTec.2016.110(586-593)Online publication date: Aug-2016
  • (2015)Finite-State-Machine Overlay Architectures for Fast FPGA Compilation and Application PortabilityACM Transactions on Embedded Computing Systems10.1145/270008214:3(1-25)Online publication date: 21-Apr-2015
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media