Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/329166.329208acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
Article
Free access

Timing-driven placement for FPGAs

Published: 01 February 2000 Publication History

Abstract

In this paper we introduce a new Simulated Annealing-based timing-driven placement algorithm for FPGAs. This paper has three main contributions. First, our algorithm employs a novel method of determining source-sink connection delays during placement. Second, we introduce a new cost function that trades off between wire-use and critical path delay, resulting in significant reductions in critical path delay without significant increases in wire-use. Finally, we combine connection-based and path-based timing-analysis to obtain an algorithm that has the low time-complexity of connection-based timing-driven placement, while obtaining the quality of path-based timing-driven placement.
A comparison of our new algorithm to a well known non-timing-driven placement algorithm demonstrates that our algorithm is able to increase the post-place-and-route speed (using a full path-based timing-driven router and a realistic routing architecture) of 20 MCNC benchmark circuits by an average of 42%, while only increasing the minimum wiring requirements by an average of 5%.

References

[1]
V. Betz, "Architecture and CAD for Speed and Area Optimization of FPGAs," Ph.D. Dissertation, University of Toronto, 1998.
[2]
V. Betz, J. Rose, and A. Marquardt, Architecture and CAD for Deep-Submicron FPGAs, Kluwer Academic Publishers, February 1999.
[3]
R. Hitchcock, G. Smith and D. Cheng, "Timing Analysis of Computer-Hardware," IBM Journal of Research and Development, Jan. 1983, pp. 100- 105.
[4]
S. Nag and R. Rutenbar, "Performance-Driven Simultaneous Place and Route for Row-Based FPGAs", ICCAD, 1995, pp. 332 - 338.
[5]
C. Ebeling, L. McMurchie, S. Hauck, and S. Bums, "Placement and Routing Tools for the Triptych FPGA," IEEE Trans. on VLSI, Vol. 3, No. 4, Dec 1995.
[6]
W. Swartz and C. Sechen, "Timing Driven Placement for Large Standard Cell Circuits," DAC, 1995, pp. 211 - 215.
[7]
B. Riess and Cx Ettelt, "SPEED: Fast and Efficient Timing Driven Placement," IEEE International Symposium on Circuits and Systems, 1995, pp. 377 - 380.
[8]
S. Yang, "Logic Synthesis and Optimization Benchmarks, Version 3.0," Tech. Report, Microelectronics Center of North Carolina, 1991.
[9]
E.M. Sentovich et al, "SIS: A System for Sequential Circuit Analysis," Tech. Report No. UCB/ERL M92/41, University of California, Berkeley, 1992.
[10]
J. Cong and Y. Ding, "Flowmap: An Optimal Technology Mapping Algorithm for Delay Optimization in Lookup- Table Based FPGA Designs," IEEE Trans. on CAD, Jan. 1994, pp. 1-12.
[11]
Xilinx Inc., "Virtex 2.5 V Field Programmable Gate Arrays", Advance Product Data Sheet, 1998.
[12]
S. Kirkpatrick, C. Gelatt and M. Vecchi, "Optimization by Simulated Annealing," Science, May 13, 1983, pp. 671 - 680.
[13]
C. Cheng, "RISA: Accurate and Efficient Placement Routability Modeling," ICCAD, 1994, pp. 690 - 695.
[14]
W. Swartz and C. Sechen, "Timing Driven Placement for Large Standard Cell Circuits," DAC, 1995, pp. 211 - 215.
[15]
A. Marquardt, "Cluster-Based Architecture, Timing-Driven Packing. and Timing-Driven Placement for FPGAs," M.A.Sc. Thesis, University of Toronto, 1999.

Cited By

View all
  • (2024)Exploring FPGA Switch-Blocks without Explicitly Listing Connectivity PatternsACM Transactions on Reconfigurable Technology and Systems10.1145/359741717:1(1-39)Online publication date: 12-Feb-2024
  • (2024)Multielectrostatic FPGA Placement Considering SLICEL–SLICEM Heterogeneity, Clock Feasibility, and Timing OptimizationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.331310143:2(641-653)Online publication date: Feb-2024
  • (2024)A Data-Driven, Congestion-Aware and Open-Source Timing-Driven FPGA Placer Accelerated by GPUs2024 IEEE 32nd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)10.1109/FCCM60383.2024.00022(115-125)Online publication date: 5-May-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
FPGA '00: Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays
February 2000
223 pages
ISBN:1581131933
DOI:10.1145/329166
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 February 2000

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

FPGA00
Sponsor:

Acceptance Rates

Overall Acceptance Rate 125 of 627 submissions, 20%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)214
  • Downloads (Last 6 weeks)26
Reflects downloads up to 22 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Exploring FPGA Switch-Blocks without Explicitly Listing Connectivity PatternsACM Transactions on Reconfigurable Technology and Systems10.1145/359741717:1(1-39)Online publication date: 12-Feb-2024
  • (2024)Multielectrostatic FPGA Placement Considering SLICEL–SLICEM Heterogeneity, Clock Feasibility, and Timing OptimizationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.331310143:2(641-653)Online publication date: Feb-2024
  • (2024)A Data-Driven, Congestion-Aware and Open-Source Timing-Driven FPGA Placer Accelerated by GPUs2024 IEEE 32nd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)10.1109/FCCM60383.2024.00022(115-125)Online publication date: 5-May-2024
  • (2024)Physical ImplementationFPGA EDA10.1007/978-981-99-7755-0_10(165-206)Online publication date: 1-Feb-2024
  • (2023)Timing-Driven Simulated Annealing for FPGA Placement in Neural Network RealizationElectronics10.3390/electronics1217356212:17(3562)Online publication date: 23-Aug-2023
  • (2023)Towards Machine Learning-Based FPGA Backend Flow: Challenges and OpportunitiesElectronics10.3390/electronics1204093512:4(935)Online publication date: 13-Feb-2023
  • (2023)System Static Timing Analysis Method Based on Hierarchization2023 International Symposium of Electronics Design Automation (ISEDA)10.1109/ISEDA59274.2023.10218386(179-183)Online publication date: 8-May-2023
  • (2022)An Optimized GIB Routing Architecture with Bent Wires for FPGAACM Transactions on Reconfigurable Technology and Systems10.1145/351959916:1(1-28)Online publication date: 22-Dec-2022
  • (2022)An Incremental Placement Flow for Advanced FPGAs With Timing AwarenessIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312007041:9(3092-3103)Online publication date: Sep-2022
  • (2022)RLPlace: Using Reinforcement Learning and Smart Perturbations to Optimize FPGA PlacementIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.310986341:8(2532-2545)Online publication date: Aug-2022
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media