Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/774789.774804acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Multi-objective design space exploration using genetic algorithms

Published: 06 May 2002 Publication History

Abstract

In this work, we provide a technique for efficiently exploring a parameterized system-on-a-chip (SoC) architecture to find all Pareto-optimal configurations in a multi-objective design space. Globally, our approach uses a parameter dependency model of our target parameterized SoC architecture to extensively prune non-optimal sub-spaces. Locally, our approach applies Genetic Algorithms (GAs) to discover Pareto-optimal configurations within the remaining design points. The computed Pareto-optimal configurations will represent the range of performance (e.g., timing and power) tradeoffs that are obtainable by adjusting parameter values for a fixed application that is mapped on the parameterized SoC architecture. We have successfully applied our technique to explore Pareto-optimal configurations for a number of applications mapped on a parameterized SoC architecture.

References

[1]
D. Albonesi. Selective cache ways: On-demand cache resource allocation. MICRO, 1999.
[2]
C. J. Alpert, L. W. Hagen, and A. B. Kahng. A hybrid multilevel/genetic approach for circuit partitioning. In Fifth ACM/SIGDA Physical Design Workshop, pages 100--105, Apr. 1996.
[3]
C. J. Alpert and A. B. Kahng. Recent developments in netlist partitioning: A survey. VLSI Journal, 19(1--2):1--81, 1995.
[4]
C. A. C. Coello. A comprehensive survey of evolutionary-based multiobjective optimization techniques. Knowledge and Information Systems. An International Journal, 1(3):269--308, Aug. 1999.
[5]
T. Givargis, F. Vahid, and J. Henkel. Fast cache and bus power estimation for parametrized system-on-a-chip design. In Design Automation and Test in Europe (DATE), Mar. 2000.
[6]
T. Givargis, F. Vahid, and J. Henkel. System-level exploration for pareto-optimal configurations in parameterized systems-on-a-chip. In International Conference on Computer Aided Design, Nov. 2001.
[7]
R. E. Gonzalez. Xtensa: A configurable and extensible processor. IEEE Micro, pages 60--70, 2000.
[8]
J. Heitkötter and D. Beasley. The hitch-hiker's guide to evolutionary computation. http://surf.de.uu.net/encore/www/, Apr. 12 2001.
[9]
J. H. Holland. Adaption in Natural and Artificial Systems. MI: University of Michigan Press, 1975.
[10]
Y.-M. Jiang, K.-T. Cheng, and A. Krstic. Estimation of maximum power and instantaneous current using a genetic algorithm. In Proceedings of IEEE Custom Integrated Circuits Conference, pages 135--138, May 1997.
[11]
V. Kommu and I. Pomenraz. GAFAP: Genetic algorithm for FPGA technology mapping. In European Design Automation Conference, pages 300--305, 1993.
[12]
J. Lienig and K. Thulasiraman. A genetic algorithm for channel routing in VLSI circuits. Evolutionary Computation, 1(4):293--311, 1993.
[13]
A. Malik, B. Moyer, and D. Cermak. A programmable unified cache architecture for embedded applications. In International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, 2000.
[14]
P. Mazumder and E. M. Rudnick. Genetic Algorithms for VLSI Design, Layout & Test Automation. Prentice Hall, Inc., 1999.
[15]
D. Saab, Y. Saab, and J. Abraham. Automatic test vector cultivation for sequential vlsi circuits using genetic algorithms. IEEE Transactionsn Computer-Aided Design, 15(10):1278--1285, Oct. 1996.
[16]
K. Shahookar and P. Mazumder. A genetic approach to standard cell placement using metagenetic parameter optimization. IEEE Transactions on Computer-Aided Design, 9:500--511, May 1990.
[17]
T. Simunic, L. Benini, and G. D. Micheli. Cycle-accurate simulation of energy consumption in embedded systems. In ACM Press, editor, Proceedings of the 36th Conference on Design Automation, pages 867--872, New Orleans, LA, USA, June 21--25 1999.
[18]
M. R. Stan and W. P. Burleson. Bus invert coding for low power I/O. IEEE Transactions on VLSI Systems, pages 49--58, Mar. 1995.
[19]
E. Zitzler, M. Laumanns, and L. Thiele. SPEA2: Improving the performance of the strength pareto evolutionary algorithm. Technical Report TIK-Report 103, Computer Engineering and Communication Networks Lab, Swiss Federal Institute of Technology (ETH) Zurich, Gloriastrasse 35, CH-8092, May 2001.
[20]
E. Zitzler and L. Thiele. Multiobjective evolutionary algorithms: A comparative case study and the strength pareto approach. IEEE transactions on Evolutionary Computation, 4(3):257--271, Nov. 1999.

Cited By

View all
  • (2024)Design Spaces and How Software Designers Use Them: a samplerProceedings of the 1st International Workshop on Designing Software10.1145/3643660.3643941(1-8)Online publication date: 15-Apr-2024
  • (2024)Design Space Exploration of HPC Systems with Random Forest-based Bayesian OptimizationProceedings of the 16th Workshop on Rapid Simulation and Performance Evaluation for Design10.1145/3642921.3642923(9-15)Online publication date: 18-Jan-2024
  • (2024)Refinery: Graph Solver as a ServiceProceedings of the 2024 IEEE/ACM 46th International Conference on Software Engineering: Companion Proceedings10.1145/3639478.3640045(64-68)Online publication date: 14-Apr-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
CODES '02: Proceedings of the tenth international symposium on Hardware/software codesign
May 2002
232 pages
ISBN:1581135424
DOI:10.1145/774789
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 06 May 2002

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Pareto-optimal configurations
  2. design space exploration
  3. genetic algorithms
  4. low power design
  5. system-on-a-chip architectures

Qualifiers

  • Article

Conference

CODES02
Sponsor:

Acceptance Rates

Overall Acceptance Rate 280 of 864 submissions, 32%

Upcoming Conference

ESWEEK '24
Twentieth Embedded Systems Week
September 29 - October 4, 2024
Raleigh , NC , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)64
  • Downloads (Last 6 weeks)5
Reflects downloads up to 22 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Design Spaces and How Software Designers Use Them: a samplerProceedings of the 1st International Workshop on Designing Software10.1145/3643660.3643941(1-8)Online publication date: 15-Apr-2024
  • (2024)Design Space Exploration of HPC Systems with Random Forest-based Bayesian OptimizationProceedings of the 16th Workshop on Rapid Simulation and Performance Evaluation for Design10.1145/3642921.3642923(9-15)Online publication date: 18-Jan-2024
  • (2024)Refinery: Graph Solver as a ServiceProceedings of the 2024 IEEE/ACM 46th International Conference on Software Engineering: Companion Proceedings10.1145/3639478.3640045(64-68)Online publication date: 14-Apr-2024
  • (2024)BSSE: Design Space Exploration on the BOOM With Semi-Supervised LearningIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2024.336807532:5(860-869)Online publication date: May-2024
  • (2024)Statistical Hardware Design With Multimodel Active LearningIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.332098443:2(562-572)Online publication date: Feb-2024
  • (2023)FARSI: An Early-stage Design Space Exploration Framework to Tame the Domain-specific System-on-chip ComplexityACM Transactions on Embedded Computing Systems10.1145/354401622:2(1-35)Online publication date: 24-Jan-2023
  • (2023)Digital Twins for Cyber-Biophysical Systems: Challenges and Lessons Learned2023 ACM/IEEE 26th International Conference on Model Driven Engineering Languages and Systems (MODELS)10.1109/MODELS58315.2023.00014(1-12)Online publication date: 1-Oct-2023
  • (2023)Multi‐objective digital circuit block optimisation based on cell mapping in an industrial electronic design automation flowIET Computers & Digital Techniques10.1049/cdt2.1206217:3-4(180-194)Online publication date: 26-Jul-2023
  • (2023)Partial design space exploration strategies applied in preliminary designInternational Journal on Interactive Design and Manufacturing (IJIDeM)10.1007/s12008-023-01377-7Online publication date: 14-Jun-2023
  • (2023)AEx: Automated High-Level Synthesis of Compiler Programmable Co-ProcessorsJournal of Signal Processing Systems10.1007/s11265-023-01841-395:9(1051-1065)Online publication date: 15-Feb-2023
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media