Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

An Improved Overlay and Mapping Algorithm Supporting Rapid Triggering for FPGA Debug

Published: 11 January 2017 Publication History

Abstract

Embedded system designers can benefit from FPGA accelerators to achieve higher performance and efficiency. However, there are challenges that do not exist in software development; using software simulators to validate large and complex hardware designs can be extremely slow and impractical. Debugging designs implemented on an FPGA enables running the design at speed for long runs and more exhaustive test cases. However, limited observability is the primary challenge in hardware debug. To enhance hardware observability, trace-buffers and a trigger circuitry are inserted into the design. During the device operation, a history of signals of interest is recorded into the trace-buffers for off-line debug and validation. Recompiling the design every time the designer wishes to modify the trigger condition results in long debug turn-around times and reduced productivity. In this work, we present a pre-synthesized overlay fabric and algorithm to enable rapid triggering; during debug turn-around, TriggerPlus, a greedy algorithm, is used to implement a trigger circuit on the overlay. TriggerPlus is fast and simple, yet still capable of mapping the trigger circuit to the overlay fabric. We evaluate our techniques using VPR, showing that using our overlay and mapping algorithm together is at least an order of magnitude faster than the previous work resulting in a significant reduction in debug turn-around times.

References

[1]
A. Putnam, A. Caulfield, E. Chung, D. Chiou, K. Constantinides, J. Demme, H. Esmaeilzadeh, J. Fowers, G. Gopal, J. Gray, M. Haselman, S. Hauck, S. Heil, A. Hormati, J.-Y. Kim, S. Lanka, J. Larus, E. Peterson, S. Pope, A. Smith, J. Thong, P. Xiao, and D. Burger, "A reconfigurable fabric for accelerating large-scale datacenter services," in Int. Symp. on Computer Architecture, 2014, pp. 13--24.
[2]
Xilinx, "Chipscope pro software and cores, user guide," 2012.
[3]
Altera, "Quartus ii handbook version 13.1 vol. 3: Verification," 2013.
[4]
M. Graphics, "Certus ASIC prototyping debug solution," 2014.
[5]
E. Hung and S. J. Wilton, "Incremental trace-buffer insertion for FPGA debug," IEEE Transactions on Very Large Scale Integration Systems, vol. 22, no. 4, pp. 850--863, April 2014.
[6]
P. Graham, B. Nelson, and B. Hutchings, "Instrumenting bitstreams for debugging FPGA circuits," in IEEE Symp. on Field-Programmable Custom Computing Machines, 2001, pp. 41--50.
[7]
F. Eslami and S. J. Wilton, "An adaptive virtual overlay for fast trigger insertion for FPGA debug," in Int. Conf. on Field-Programmable Technology, 2015, pp. 32--39.
[8]
M. D. Hutton, J. Rose, J. P. Grossman, and D. G. Corneil, "Characterization and parameterized generation of synthetic combinational benchmark circuits," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 17, no. 10, pp. 985--996, 1998.
[9]
S. J. Wilton, N. Kafafi, J. C. Wu, K. A. Bozman, V. O. A. Ova, and R. Saleh, "Design considerations for soft embedded programmable logic cores," IEEE Journal of Solid-State Circuits, vol. 40, no. 2, pp. 485--497, 2005.
[10]
L. McMurchie and C. Ebeling, "Pathfinder: a negotiation-based performance-driven router for FPGAs," in Proceedings of ACM Int. symp. on Field-programmable gate arrays, 1995.
[11]
V. Betz, J. Rose, and A. Marquardt, Eds., Architecture and CAD for Deep-Submicron FPGAs. MA, USA: Kluwer Academic Publishers, 1999.
[12]
F. Eslami and S. J. Wilton, "Incremental distributed trigger insertion for efficient FPGA debug," in IEEE Int. Conf. on Field Programmable Logic and Applications. IEEE, 2014, pp. 1--4.
[13]
E. Hung and S. J. Wilton, "Towards simulator-like observability for FPGAs: A virtual overlay network for trace-buffers," in Proceedings of the ACM/SIGDA Int. Symp. on Field Programmable Gate Arrays. ACM, 2013, pp. 19--28.
[14]
J. Luu, J. Goeders, M. Wainberg, A. Somerville, T. Yu, K. Nasartschuk, M. Nasr, S.Wang, T. Liu, N. Ahmed et al., "VTR 7.0: Next generation architecture and CAD system for FPGAs," ACM Transactions on Reconfigurable Technology and Systems, vol. 7, no. 2, p. 6, 2014.
[15]
B. L. Hutchings and J. Keeley, "Rapid post-map insertion of embedded logic analyzers for xilinx FPGAs," in IEEE Int. Symp on Field-Programmable Custom Computing Machines, 2014, pp. 72--79.
[16]
Synopsys, "Identify, datasheet," 2015.

Cited By

View all
  • (2019)Preallocating Resources for Distributed Memory Based FPGA Debug2019 29th International Conference on Field Programmable Logic and Applications (FPL)10.1109/FPL.2019.00067(384-390)Online publication date: Sep-2019
  • (2019)An Integrated on-Silicon Verification Method for FPGA OverlaysJournal of Electronic Testing: Theory and Applications10.1007/s10836-019-05786-z35:2(173-189)Online publication date: 1-Apr-2019
  • (2018)Rapid Triggering Capability Using an Adaptive Overlay during FPGA DebugACM Transactions on Design Automation of Electronic Systems10.1145/324104523:6(1-25)Online publication date: 6-Dec-2018
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM SIGARCH Computer Architecture News
ACM SIGARCH Computer Architecture News  Volume 44, Issue 4
HEART '16
September 2016
96 pages
ISSN:0163-5964
DOI:10.1145/3039902
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 11 January 2017
Published in SIGARCH Volume 44, Issue 4

Check for updates

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)2
  • Downloads (Last 6 weeks)0
Reflects downloads up to 22 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2019)Preallocating Resources for Distributed Memory Based FPGA Debug2019 29th International Conference on Field Programmable Logic and Applications (FPL)10.1109/FPL.2019.00067(384-390)Online publication date: Sep-2019
  • (2019)An Integrated on-Silicon Verification Method for FPGA OverlaysJournal of Electronic Testing: Theory and Applications10.1007/s10836-019-05786-z35:2(173-189)Online publication date: 1-Apr-2019
  • (2018)Rapid Triggering Capability Using an Adaptive Overlay during FPGA DebugACM Transactions on Design Automation of Electronic Systems10.1145/324104523:6(1-25)Online publication date: 6-Dec-2018
  • (2018)Extending post-silicon coverage measurement using time-multiplexed FPGA overlays2018 IEEE 23rd European Test Symposium (ETS)10.1109/ETS.2018.8400709(1-2)Online publication date: May-2018

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media