Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

A Desktop Computer with a Reconfigurable Pentium®

Published: 17 March 2008 Publication History

Abstract

Advancements in reconfigurable technologies, specifically FPGAs, have yielded faster, more power-efficient reconfigurable devices with enormous capacities. In our work, we provide testament to the impressive capacity of recent FPGAs by hosting a complete Pentium® in a single FPGA chip. In addition we demonstrate how FPGAs can be used for microprocessor design space exploration while overcoming the tension between simulation speed, model accuracy, and model completeness found in traditional software simulator environments. Specifically, we perform preliminary experimentation/prototyping with an original Socket 7 based desktop processor system with typical hardware peripherals running modern operating systems such as Fedora Core 4 and Windows XP; however we have inserted a Xilinx Virtex-4 in place of the processor that should sit in the motherboard and have used the Virtex-4 to host a complete version of the Pentium® microprocessor (which consumes less than half its resources). We can therefore apply architectural changes to the processor and evaluate their effects on the complete desktop system. We use this FPGA-based emulation system to conduct preliminary architectural experiments including growing the branch target buffer and the level 1 caches. In addition, we experimented with interfacing hardware accelerators such as DES and AES engines which resulted in a 27x speedup.

References

[1]
Biswas, P., Banerjee, S., Dutt, N., Ienne, P., and Pozzi, L. 2006. Performance and energy benefits of instruction set extensions in an FPGA soft core. In Proceedings of the IEEE International Conference on VLSI Design (VLSID). IEEE Computer Society Press, Los Alamitos, CA.
[2]
Burger, D., Austin, T. M., and Bennett, S. 1996. Evaluating future microprocessors: The simplescalar tool set. Tech. Rep. CS-TR-1996-1308.
[3]
Butler, T. R. 2006. http://bochs.sourceforge.net/doc/docbook/user/bochsrc.html.
[4]
Cadence. 1998. Cadence Incisive Palladium. http://www.cadence.com/quickturn/.
[5]
Chiou, D., Sunjeliwala, H., Sunwoo, D., Xu, J., and Patil, N. 2006. FPGA-based fast, cycle-accurate, full-system simulators. In Proceedings of the Workshop on Architecture Research using FPGA Platforms in the 12th International Symposium on High-Performance Computer Architecture.
[6]
Ellsworth, J. 2007. C One. http://c64upgra.de/c-one/.
[7]
Gaisler, G. 2003. LEON SPARC. http://www.gaisler.com.
[8]
Gibeling, G., Schultz, A., and Asanovic, K. 2006. The RAMP architecture and description language. In Proceedings of the Workshop on Architecture Research using FPGA Platforms in the 12th International Symposium on High-Performance Computer Architecture (Austin, TX).
[9]
Gibeling, G. and Wawrzynek, J. 2006. A Universal Processor for RAMP. Tech. Rep. http://ramp.eecs.berkeley.edu/index.php?publications.
[10]
Granboulan, L. 2000. AES Timings of the Best Known Implementations. http://www.di.ens.fr/~granboul/recherche/AES/timings.html.
[11]
Gray, J. 2000. Designing a simple FPGA-optimized RISC CPU and system-on-a-chip. http://fpgacpu.org/papers/soc-gr0040-paper.pdf.
[12]
HIFN, Inc. 2006. 4450 HIPP III Storage Security Processor. HIFN, Inc.
[13]
Intel Corporation 1997. The Pentium Datasheet. Intel Corporation, http://www.intel.com/support/processors/pentium/
[14]
Jones, P., Padmanabhan, S., Rymarz, D., Maschmeyer, J., Schuehler, D. V., Lockwood, J. W., and Cytron, R. K. 2004. Liquid architecture. In Proceedings of the International Parallel and Distributed Processing Symposium: Workshop on Next Generation Software.
[15]
Kasper, J. Krashinksy, R., Batten, C., and Asanovic, K. 2005. A parameterizable FPGA prototype of a vector-thread processor. In Proceedings of the Workshop on Architecture Research using FPGA Platforms in the 11th International Symposium on High-Performance Computer Architecture.
[16]
Kozyrakis, C. and Olukotun, K. 2005. ATLAS: A Scalable Emulator for Transactional Parallel Systems. In Proceedings of the Workshop on Architecture Research using FPGA Platforms in the 11th International Symposium on High-Performance Computer Architecture.
[17]
Kuon, I. and Rose, J. 2006. Measuring the gap between FPGAs and ASICs. In Proceedings of the 2006 International Symposium on Field-Programmable Gate Arrays. ACM, New York.
[18]
Lu, S.-L. L., Nurvitadhi, E. Hong, J., and Larsen, S. 2005. Memory subsystem performance evaluation with FPGA based emulators. In Proceedings of the Workshop on Architecture Research using FPGA Platforms in the 11th International Symposium on High-Performance Computer Architecture.
[19]
Lu, S.-L. L., Yiannacouras, P., Kassa, R. Konow, M., and Suh, T. 2007. An FPGA-based Pentium® in a complete desktop system. In FPGA '07: Proceedings of the 2007 ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays. ACM, New York, 53--59.
[20]
Magnusson, P. S. 2002. Simics: A full system simulation platform. IEEE Comput. 35, 2, 50--58.
[21]
Opencores. 2007. Opencores.org. http://www.opencores.org.
[22]
Rosenblum, M., Herrod, S. A., Witchel, E., and Gupta, A.. Complete computer system simulation: The SimOS approach. IEEE Parall. Distrib. Tech.: Syst. Applic. 3, 4 (Winter), 34--43.
[23]
Sheldon, D., Kumar, R., Lysecky, R., Vahid, F., and Tullsen, D. M. 2006. Application-specific customization of parameterized FPGA soft-core processors. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD). ACM, New York.
[24]
Sun Microsystems 2006. OpenSPARC. Sun Microsystems, http://opensparc.sunsource.net/.
[25]
Tensilica 1997. Xtensa Tensilica, http://www.tensilica.com.
[26]
WARFP. 2005. Workshop on Architecture Research using FPGA Platforms. International Symposium on High-Performance Computer Architecture (San Francisco, CA).
[27]
Wunderlich, R. and Hoe, J. C. 2004. In-system FPGA prototyping of an itanium microarchitecture. In Proceedings of the International Conference on Computer Design (ICCD).
[28]
Wunderlich, R. E., Wenisch, T. F., Falsafi, B., and Hoe, J. C. 2004. An evaluation of stratified sampling of microarchitecture simulations. In Proceedings of the 3rd Annual Workshop on Duplicating, Deconstructing, and Debunking (ISCA-31).
[29]
Yiannacouras, P., Steffan, J. G., and Rose, J. 2006. Application-specific customization of soft processor microarchitecture. In FPGA '06: Proceedings of the 2006 International Symposium on Field-Programmable Gate Arrays. ACM, New York.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Reconfigurable Technology and Systems
ACM Transactions on Reconfigurable Technology and Systems  Volume 1, Issue 1
Special edition on the 15th international symposium on FPGAs
March 2008
139 pages
ISSN:1936-7406
EISSN:1936-7414
DOI:10.1145/1331897
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 17 March 2008
Accepted: 01 December 2007
Revised: 01 September 2007
Received: 01 May 2007
Published in TRETS Volume 1, Issue 1

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. FPGA
  2. Pentium®
  3. accelerator
  4. architecture
  5. emulator
  6. exploration
  7. model
  8. operating system
  9. processor
  10. reconfigurable
  11. simulator

Qualifiers

  • Research-article
  • Research
  • Refereed

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)4
  • Downloads (Last 6 weeks)0
Reflects downloads up to 22 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2018)Pipelined CPU Design With FPGA in Teaching Computer ArchitectureIEEE Transactions on Education10.1109/TE.2011.217522755:3(341-348)Online publication date: 29-Dec-2018
  • (2015)Area-Efficient Near-Associative Memories on FPGAsACM Transactions on Reconfigurable Technology and Systems10.1145/26294717:4(1-22)Online publication date: 23-Jan-2015
  • (2015)Reconfigurable Computing ArchitecturesProceedings of the IEEE10.1109/JPROC.2014.2386883103:3(332-354)Online publication date: Mar-2015
  • (2013)Area-efficient near-associative memories on FPGAsProceedings of the ACM/SIGDA international symposium on Field programmable gate arrays10.1145/2435264.2435298(191-200)Online publication date: 11-Feb-2013
  • (2012)A Survey of FPGA Dynamic Reconfiguration Design Methodology and ApplicationsInternational Journal of Embedded and Real-Time Communication Systems10.4018/jertcs.20120401023:2(23-39)Online publication date: Apr-2012
  • (2010)Carry-free vector-matrix multiplication on a dynamically reconfigurable optical platformApplied Optics10.1364/AO.49.00235249:12(2352)Online publication date: 14-Apr-2010

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media