Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

Congestion Optimization During Technology Mapping and Logic Synthesis

  • Chapter
Routing Congestion in VLSI Circuits: Estimation and Optimization

Part of the book series: Series on Integrated Circuits and Systems ((ICIR))

  • 1067 Accesses

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
$34.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 89.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Brayton, R. K., Hachtel, G. D., and Sangiovanni-Vincentelli, A. L., Multilevel logic synthesis, Proceedings of the IEEE, 78(2), pp. 264–300, Feb. 1990.

    Article  Google Scholar 

  2. Chatterjee, S., and Brayton, R. K., A new incremental placement algorithm and its application to congestion-aware divisor extraction, Proceedings of the International Conference on Computer-Aided Design, pp. 541–548, 2004.

    Google Scholar 

  3. Chaudhary, K., and Pedram, M., Computing the area versus delay trade-off curves in technology mapping, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 14(12), pp. 1480–1489, Dec. 1995.

    Article  Google Scholar 

  4. Micheli, de G., Synthesis and Optimization of Digital Circuits, New York, NY: McGraw-Hill Inc., 1994.

    Google Scholar 

  5. Gosti, W., Khatri, S. R., and Sangiovanni-Vincentelli, A. L., Addressing timing closure problem by integrating logic optimization and placement, Proceedings of the International Conference on Computer-Aided Design, pp. 224–231, 2001.

    Google Scholar 

  6. Gosti, W., Narayan, A., Brayton, R. K., and Sangiovanni-Vincentelli, A. L., Wireplanning in logic synthesis, Proceedings of the International Conference on Computer-Aided Design, pp. 26–33, 1998.

    Google Scholar 

  7. Hu, B., Watanabe, Y., and Marek-Sadowska, M., Gain-based technology mapping for discrete-size cell libraries. Proceedings of the Design Automation Conference, pp. 574–579, 2003.

    Google Scholar 

  8. Karandikar, S. K., and Sapatnekar, S. S., Logical effort based technology mapping, Proceedings of the International Conference on Computer-Aided Design, pp. 419–422, 2004.

    Google Scholar 

  9. Keutzer, K., DAGON: Technology binding and local optimization by DAG matching, Proceedings of the Design Automation Conference, pp. 341–347, 1987.

    Google Scholar 

  10. Kravets, V., and Kudva, P., Understanding metrics in logic synthesis for routability enhancement, Proceedings of the International Workshop on System-level Interconnect Prediction, pp. 3–5, 2003.

    Google Scholar 

  11. Kravets, V., and Kudva, P., Implicit enumeration of structural changes in circuit optimization. Proceedings of the Design Automation Conference, pp. 438–441, 2004.

    Google Scholar 

  12. Kudva, P., Sullivan, A., and Dougherty, W., Measurements for structural logic synthesis optimizations, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22(6), pp. 665–674, June 2003.

    Article  Google Scholar 

  13. Kukimoto, Y., Brayton, R. K., and Sawkar, P., Delay-optimal technology mapping by DAG covering, Proceedings of the Design Automation Conference, pp. 348–351, 1998.

    Google Scholar 

  14. Kutzschebauch, T., and Stok, L., Congestion aware layout driven logic synthesis, Proceedings of the International Conference on Computer-Aided Design, pp. 216–223, 2001.

    Google Scholar 

  15. Kutzschebauch, T., and Stok, L., Layout driven decomposition with congestion consideration, Proceedings of the Design Automation and Test in Europe, pp. 672–676, 2002.

    Google Scholar 

  16. Lehman, E., Watanabe, Y., Grodstein, J., and Harkness, H., Logic decomposition during technology mapping, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 16(8), pp. 813–834, Aug. 1997.

    Article  Google Scholar 

  17. Lin, J., Jagannathan, A., and Cong, J., Placement-driven technology mapping for LUT-based FPGAs, Proceedings of the International Symposium on Field Programmable Gate Arrays, pp. 121–126, 2003.

    Google Scholar 

  18. Liu, Q., and Marek-Sadowska, M., Pre-layout wire length and congestion estimation, Proceedings of the Design Automation Conference, pp. 582–587, 2004.

    Google Scholar 

  19. Liu, Q., and Marek-Sadowska, M., Wire length prediction-based technology mapping and fanout optimization, Proceedings of the International Symposium on Physical Design, pp. 145–151, 2005.

    Google Scholar 

  20. Pedram, M., and Bhat, N., Layout driven technology mapping, Proceedings of the Design Automation Conference, pp. 99–105, 1991.

    Google Scholar 

  21. Pedram, M., and Bhat, N., Layout driven logic restructuring/decomposition, Proceedings of the International Conference on Computer-Aided Design, pp. 134–137, 1991.

    Google Scholar 

  22. Pandini, D., Pileggi, L. T., and Strojwas, A. J., Global and local congestion optimization in technology mapping, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22(4), pp. 498–505, April 2003.

    Article  Google Scholar 

  23. Rajski, J., and Vasudevamurthy, J., The testability-preserving concurrent decomposition and factorization of Boolean expressions, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 11(6), pp. 778–793, June 1992.

    Article  Google Scholar 

  24. Saucier, G., and Abouzeid, P., Lexicographical expressions of Boolean functions with application to multilevel synthesis, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 12(11), pp. 1642–1654, Nov. 1993.

    Article  Google Scholar 

  25. Sentovich, E. M., Singh, K., Lavagno, L., Moon, C., Murgai, R., Saldanha, A., Savoj, H., Stephan, P., Brayton, R., and Sangiovanni-Vincentelli, A., SIS: A system for sequential circuit synthesis, Memorandum No. UCB/ERL M92/41, University of California, Berkeley, CA, May 1992.

    Google Scholar 

  26. Shelar, R., Sapatnekar, S., Saxena, P., and Wang, X., A predictive distributed congestion metric with application to technology mapping, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 24(5), pp. 696–710, May 2005.

    Article  Google Scholar 

  27. Shelar, R., Saxena, P., and Sapatnekar, S., Technology mapping algorithm targeting routing congestion under delay constraints, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 25(4), pp. 625–636, April 2006.

    Article  Google Scholar 

  28. Stok, L., Iyer, M. A., and Sullivan, A., Wavefront technology mapping, Proceedings of the Design Automation and Test in Europe, pp. 531–536, 1999.

    Google Scholar 

  29. Sutherland, I., Sproull, R., and Harris, C., Logical Effort: Designing Fast CMOS Circuits, San Francisco, CA: Morgan Kaufmann Publishers, 1999.

    Google Scholar 

  30. Vaishnav, H., and Pedram, M., Minimizing the routing cost during logic extraction, Proceedings of the Design Automation Conference, pp. 70–75, 1995.

    Google Scholar 

Download references

Rights and permissions

Reprints and permissions

Copyright information

© 2007 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

(2007). Congestion Optimization During Technology Mapping and Logic Synthesis. In: Routing Congestion in VLSI Circuits: Estimation and Optimization. Series on Integrated Circuits and Systems. Springer, Boston, MA. https://doi.org/10.1007/0-387-48550-3_6

Download citation

  • DOI: https://doi.org/10.1007/0-387-48550-3_6

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-0-387-30037-5

  • Online ISBN: 978-0-387-48550-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics