Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

Systems for Wireless Communication

  • Chapter
  • First Online:
Multiprocessor Systems on Chip

Abstract

The advent of second generation (2G), digital mobile communication networks for the mass markets had a significant impact on the use of mobile communication in the 1990s. Previously, the usage of mobile communication had been limited to business customers because of the high costs, whereas second (2G) and following (3G, LTE) wireless communication generations have been affordable for the masses. With the change of customers, the usage of mobile communication has broadened from pure mobile voice communication to infotainment and entertainment. This requires mobile handsets to support, in addition to the key components of voice and data communication, applications, like multimedia ones. The different structure and demands of these applications require different kinds of wireless communication protocols and standards which, in turn, has led to the incorporation of a hardware subsystem for each standard. This solution promises short-term success, however in the long term this principle is not expected to scale with a large number of supported communication standards. Finally, this has led to the vision of a Software Defined Radio (SDR) [6] which implements these standards in software to allow an easy upgrade and extension of the set of supported standards. It is commonly agreed that heterogeneous Multiprocessor System-on-Chip (MPSoCs) [7] are the best choice for the underlying platform to cope with the challenging demands of computational performance, energy efficiency, and flexibility, especially for wireless communication devices like SDRs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
$34.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. M. Woh, Y. Lin, S. Seo, S. Mahlke, T. Mudge, C. Chakrabarti, R. Bruce, D. Kershaw, A. Reid, M. Wilder, and K. Flautner. From SODA to Scotch: The evolution of a wireless baseband processor. In MICRO ’08: Proceedings of the 2008 41st IEEE/ACM International Symposium on Microarchitecture, pages 152–163, Washington, DC, USA, 2008. IEEE Computer Society.

    Google Scholar 

  2. G. Smith. Crisis of complexity. In Gartner Dataquest briefing, 40th Design Automation Conference (DAC), June 2003.

    Google Scholar 

  3. J. Mitola, III. Cognitive radio for flexible mobile multimedia communications. Mobile Networks and Applications, 6(5):435–441, 2001.

    Article  MATH  Google Scholar 

  4. A. Jerraya and W. Wolf. Multiprocessor Systems-on-Chips (The Morgan Kaufmann Series in Systems on Silicon). Morgan Kaufmann, Los Altos, CA, Sept. 2004.

    Google Scholar 

  5. International Telecommunication Union (ITU). http://www.itu.int/, Jan. 2011.

  6. European Telecommunications Standards Institute (ETSI). http://www.etsi.org/, Jan. 2011.

  7. IEEE. http://www.etsi.org/, Jan. 2011.

  8. H. Zimmermann. OSI reference model – the ISO model of architecture for open systems interconnection. COM, 28(4), April 1980.

    Google Scholar 

  9. Wireless Medium Access Control (MAC) and Physical Layer (PHY) Specifications for Wireless Personal Area Networks (WPANs). IEEE Std. 802.15.1-2002, 2002.

    Google Scholar 

  10. IEEE Standard for Information technology-Telecommunications and information exchange between systems-Local and metropolitan area networks-Specific requirements – Part 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications. IEEE Std 802.11-2007 (Revision of IEEE Std 802.11-1999), pages C1–C1184, Dec. 2007.

    Google Scholar 

  11. Apple Inc. http://www.apple.com/, Dec. 2007.

  12. P. Mannion. Under the Hood: Inside the Apple iPhone. EE Times, http://www.eetimes.com/news/latest/showArticle.jhtml?articleID=200001811, Jan. 2007.

  13. U. Ramacher. Software-defined radio prospects for multistandard mobile phones. Computer, 40(10):62–69, 2007.

    Article  Google Scholar 

  14. LAN MAN Standards Commitee of the IEEE Computer Society. IEEE Std 802.11a-1999, Part 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications: High Speed Physical Layer in the 5 GHz Band. 1999.

    Google Scholar 

  15. G. Kahn. The semantics of a simple language for parallel programming. In J.L. Rosenfeld, editor, Information Processing ’74: Proceedings of the IFIP Congress, pages 471–475. North-Holland, New York, NY, 1974.

    Google Scholar 

  16. E.A. Lee and D.G. Messerschmitt. Synchronous data flow. Proceedings of the IEEE, 75(9):1235–1245, 1987.

    Article  Google Scholar 

  17. Z. Chamski. Parallelism and physical time constraints in multimedia applications, or another view on iterators (and arrays). In Synchron’03, Luminy, Dec. 2003.

    Google Scholar 

  18. J.D. Owens, D. Luebke, N. Govindaraju, M. Harris, J. Krueger, A.E. Lefohn, and T.J. Purcell. A survey of general-purpose computation on graphics hardware. Computer Graphics Forum, 26(1):80–113, 2007.

    Article  Google Scholar 

  19. T. Wiegand, G.J. Sullivan, G. Bjontegaard, and A. Luthra. Overview of the H.264/AVC video coding standard. IEEE Transactions on Circuits and Systems for Video Technology, 13(7):560–576, July 2003.

    Google Scholar 

  20. J.L. Hennessy and D.A. Patterson. Computer Architecture: A Quantitative Approach. Morgan Kaufmann Publishers, Los Altos, CA, 4th ed. 2007.

    Google Scholar 

  21. C. Hammerschmidt. Intel starts foray into SoC market. Technical report, July 2008.

    Google Scholar 

  22. R. Weinreich and J. Sametinger. Component-Based Software Engineering: Putting the Pieces Together. Addison-Wesley Longman Publishing Co., Inc., Reading, MA, 2001.

    Google Scholar 

  23. Unified Modeling Language (UML). http://www.uml.org, Jan. 2011.

  24. T. Kogel and H. Meyr. Heterogeneous MP-SoC – The solution to energy-efficient signal processing. In Design Automation Conference (DAC), San Diego, USA, June 2004.

    Google Scholar 

  25. J.M. Rabaey. Wireless beyond the third generation-facing the energy challenge. In International Symposium on Low Power Electronics and Design, pages 1–3, 2001.

    Google Scholar 

  26. M. Gries and K. Keutzer. Building ASIPs: The Mescal Methodology. Springer, Berlin, Heidelberg, 2005.

    Book  Google Scholar 

  27. E.M. Witte, T. Kempf, V. Ramakrishnan, and G. Ascheid, RWTH Aachen University, Germany; M. Adrat and M. Antweiler, Department of FKIE/KOM, Wachtberg, Germany. SDR Baseband Processing Portability: A Case Study. In 5th Karlsruhe Workshop on Software Radios (WSR’08), Karlsruhe, Germany, March 2008.

    Google Scholar 

  28. W.O. Cesario, D. Lyonnard, G. Nicolescu, Y. Paviot, S. Yoo, A.A. Jerraya, L. Gauthier, and M. Diaz-Nava. Multiprocessor SoC platforms: A component-based design approach. IEEE Design and Test of Computers, 19(6):52–63, Nov./Dec. 2002.

    Google Scholar 

  29. A. Sangiovanni-Vincentelli. Defining platform-based design. EEDesign of EETimes, Feb. 2002.

    Google Scholar 

  30. ARM. AMBA System Architecture. http://www.arm.com/, Jan. 2011.

  31. IBM CoreConnect bus cores. http://www.ibm.com/, Jan. 2011.

  32. Arteris Unveils Strategy, Technology for enabling Network on Chip (NoC) Design. Press Release, March 2003.

    Google Scholar 

  33. Texas Instruments. TI OMAP. http://focus.ti.com/docs/prod/folders/print/omap3530.html, Jan. 2011.

  34. Texas Instruments Inc. OMAP 4430 Platform. http://focus.ti.com/en/graphics/wtbu/OMAP4430-tn.gif, Jan. 2011.

  35. J. Kunkel. MPSoC IP integration and interoperability challenges. In 8th International Forum on Application-Specific Multi-Processor SoC, June 2008.

    Google Scholar 

  36. J.A. de Oliveira and H. van Antwerpen. The Philips Nexperia digital video platform. In G. Martin and H. Chang, editors, Winning the SoC Revolution: Experiences in Real Design, Kluwer Academic Publishers, Boston, 2003.

    Google Scholar 

  37. Imagination Technologies Ltd. POWERVR Graphics IP, http://www.imgtec.com/, Jan. 2011.

  38. B. Bailey, G. Martin, and A. Piziali. ESL Design and Verification. Morgan Kaufmann, Los Altos, CA, 1st ed., 2007.

    Google Scholar 

  39. J. Tourley. Survey says: software tools more important than chips, April 2005.

    Google Scholar 

  40. S.W. Smith. The Scientist and Engineer’s Guide to Digital Signal Processing. California Technical Publishing, San Diego, CA, USA, 1997.

    Google Scholar 

  41. J.A. Fisher. Very long instruction word architectures and the ELI-512. In ISCA ’83: Proceedings of the 10th Annual International Symposium on Computer Architecture, pages 140–150, Los Alamitos, CA, USA, 1983. IEEE Computer Society Press.

    Google Scholar 

  42. M. Flynn. Very high-speed computing systems. Proceedings of the IEEE, 54:1901–1909, Dec. 1966.

    Article  Google Scholar 

  43. W.M. Johnson. Superscalar Microprocessors Design. Prentice Hall PTR, Englewood, Cliffs, NJ, 1990.

    Google Scholar 

  44. Tensilica. http://www.tensilica.com/, 2002.

  45. A. Hofmann, H. Meyr, and R. Leupers. Architecture Exploration for Embedded Processors with LISA. PhD thesis, RWTH Aachen, 2002. ISBN 1-4020-7338-0.

    Google Scholar 

  46. A. Halambi, P. Grun, V. Ganesh, A. Khare, N. Dutt, and A. Nicolau. EXPRESSION: A language for architecture exploration through compiler/simulator retargetability. In Proceedings of the Design Automation and Test in Europe Conference and Exhibition 1999, pages 485–490, 1999.

    Google Scholar 

  47. M. Hohenauer, H. Scharwaechter, K. Karuri, O. Wahlen, T. Kogel, R. Leupers, G. Ascheid, H. Meyr, G. Braun, and H. van Someren. A methodology and tool suite for C compiler generation from ADL processor models. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), Paris, France, Feb. 2004.

    Google Scholar 

  48. S. Hauck and A. Dehon, editors. Reconfigurable computing: The theory and practice of FPGA-based computation. Systems on Silicon. Morgan Kaufmann, Los Altos, CA, Nov. 2007.

    Google Scholar 

  49. Stretch Inc. http://www.stretchinc.com/, Jan. 2011.

  50. A. Chattopadhyay, R. Leupers, H. Meyr, and G. Ascheid. Language-driven Exploration and Implementation of Partially Re-configurable ASIPs. Springer Publishing Company, Incorporated, Berlin (Heidelberg/New York), 2008.

    Google Scholar 

  51. IEEE Standard VHDL Language Reference Manual. IEEE Std 1076, March 1987.

    Google Scholar 

  52. IEEE standard Verilog hardware description language. IEEE Std. 1364-2001, 2001.

    Google Scholar 

  53. T. Vogt and N. Wehn. A reconfigurable ASIP for convolutional and turbo decoding in an SDR environment. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16(10):1309–1320, Oct. 2008.

    Google Scholar 

  54. A. Hemani, A. Jantsch, S. Kumar, A. Postula, J. Öberg, M. Millberg, D. Lindqvist. Network on a chip: An architecture for billion transistor era. In Norchip Conference, pages 166–173, November 2000.

    Google Scholar 

  55. OCP IP. http://www.ocpip.org/, Jan. 2011.

  56. E. Salminen, A. Kulmala, and T.D. Hamalainen. Survey of Network-on-chip Proposals. White paper, OCP-IP, April 2008. Available online (13 pages).

    Google Scholar 

  57. J.D. Owens, W.J. Dally, R. Ho, D.N. Jayasimha, S.W. Keckler, and L.-S. Peh. Research challenges for on-chip interconnection networks. IEEE Micro, pages 96–108, Sep.–Oct. 2007.

    Google Scholar 

  58. A. Jantsch and H. Tenhunen, editors. Networks on chip. Kluwer Academic Publishers, Hingham, MA, USA, 2003.

    Google Scholar 

  59. P. Grun, A. Nicolau, and N. Dutt. Memory Architecture Exploration for Programmable Embedded Systems. Kluwer Academic Publishers, Norwell, MA, USA, 2002.

    Google Scholar 

  60. T. Vogt and N. Wehn. A reconfigurable application specific instruction set processor for convolutional and turbo decoding in a SDR environment. In Proceedings of the International Conference on Design, Automation and Test in Europe (DATE), pages 38–43, New York, NY, USA, 2008. ACM.

    Google Scholar 

  61. BDTI Inc. Evaluating the DSP Capabilities of the Cortex-R4. Inside DSP, 2007.

    Google Scholar 

  62. M. Speth, H. Dawid, and F. Gersemsky. Design and verification challenges for 3G/3.5G/4G wireless baseband MPSoCs. In MPSoC’08, June 2008.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Torsten Kempf .

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Kempf, T., Ascheid, G., Leupers, R. (2011). Systems for Wireless Communication. In: Multiprocessor Systems on Chip. Springer, New York, NY. https://doi.org/10.1007/978-1-4419-8153-0_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-8153-0_2

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4419-8152-3

  • Online ISBN: 978-1-4419-8153-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics