Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

NoC Modeling and Topology Exploration

  • Chapter
  • First Online:
Designing 2D and 3D Network-on-Chip Architectures

Abstract

This chapter describes two of the most important tasks for designing NoC-based systems dealing with NoC modeling, as well as the topology exploration. For this purpose, state-of-the-art architectural solutions are discussed and open research topics are highlighted. Additionally, this chapter provides a description of alternative traffic models used as input to the NoC domain for evaluating the efficiency of various architectural parameters. The last topics discussed in this chapter are topology synthesis and application mapping onto the derived NoC architecture under various constraints.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
$34.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. R. Thid, M. Millberg, A. Jantsch, Evaluating NoC communication backbones with simulation, in IEEE NorChip Conference, pp. 27–30, 2003

    Google Scholar 

  2. D. Wiklund, L. Dake Liu, SoCBUS: switched network on chip for hard real time embedded systems, in Parallel and Distributed Processing Symposium, p. 8, April 2003

    Google Scholar 

  3. I. Saastamoinen, M. Alho, J. Nurmi, Buffer implementation for Proteo network-on-chip. Int. Proc. Circuits Syst. 2, 113–116 (May 2003)

    Google Scholar 

  4. F. Karim A. Nguyen, S. Dey, An interconnect architecture for networking systems on chips. IEEE J. Micro High Perform. Interconnect 22(5), 36–45 (2002)

    Google Scholar 

  5. S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J. Oberg, K. Tiensyrja, A. Hemani, A network on chip architecture and design methodology, in IEEE Computer, pp. 117–124, 2002

    Google Scholar 

  6. W.J. Dally, B. Towles, Principles and practices of interconnection networks. (Morgan Kaufmann, San Francisco, 2004)

    Google Scholar 

  7. Y. Xu, Y. Du, B. Zhao, X. Zhou, Y. Zhang, J. Yang, A low-radix and low-diameter 3D interconnection network design, in International Symposium on High Performance Computer Architecture (HPCA), pp. 30–42, Feb. 2009

    Google Scholar 

  8. A. Weldezion, M. Grange, D. Pamunuwa, L. Zhonghai, A. Jantsch, R. Weerasekera, H. Tenhunen, Scalability of network-on-chip communication architecture for 3-D meshes in International Symposium on Networks-on-Chip (NoCS), pp. 114–123, May 2009

    Google Scholar 

  9. The Standard Performance Evaluation Corporation, http://www.spec.org/hpg/

  10. R. Dick, Embedded System Synthesis Benchmarks Suites (E3S), http://www.ece.northwestern.edu/dickrp/e3s/

  11. ITC’02 SOC Test Benchmarks, http://www.hitech-projects.com/itc02socbenchm/

  12. K. Puttaswamy, G.H. Loh, Thermal analysis of a 3D die-stacked high-performance microprocessor, in ACM Great Lakes Symposium on VLSI (GLSVLSI), pp. 19–24, 2006

    Google Scholar 

  13. A. Bartzas, L. Papadopoulos, D. Soudris, A system-level design methodology for application-specific networks-on-chip. J. Embed. Comput. 3(3), 167–177 (2009)

    Google Scholar 

  14. H. Hua, C. Mineo, K. Schoeniess, A. Sule, S. Melamed, R. Jenkal, W. Rhett Davis, Exploring compromises among timing, power and temperature in three-dimensional integrated circuits, in Annual Conference on Design Automation (DAC), pp. 997–1002, 2006

    Google Scholar 

  15. V. Soteriou, H. Wang, L.S. Peh, A statistical traffic model for on-chip interconnection networks, in Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), pp. 104–116, 2006

    Google Scholar 

  16. R. Widyono, The design and evaluation of routing algorithms for real-time channels. TR-94-024, University of California at Berkeley and International Computer Science Institute (1994)

    Google Scholar 

  17. G. Brebner, D. Levi, Networking on chip with platform fpgas, Field-Programmable Technology (FPT), pp. 13–20 (2003)

    Google Scholar 

  18. Z. Lu, A. Jantsch, Flit ejection in on-chip wormhole-switched networks with virtual channels, in IEEE Norchip Conference, pp. 273–276, Nov 2004

    Google Scholar 

  19. N. Genko, D. Atienza, G. De Micheli, L. Benini, J.M. Mendias, R. Hermida, F. Catthoor, A novel approach for network on chip emulation’, in International Symposium on Circuits and Systems (ISCAS), pp. 2365–2368, 2005

    Google Scholar 

  20. R. Thid, I. Sander, A. Jantsch, Flexible bus and NoC performance analysis with configurable synthetic workloads, Digital System Design: Architectures, Methods and Tools (DSD), pp. 681–688(2006)

    Google Scholar 

  21. W. Dong, B. Al-Hashimi, M. Schmitz, Improving routing efficiency for network-on-chip through contention-aware input selection, inAsia and South Pacific Conference on Design Automation (ASP-DAC), pp. 36–41, 2006

    Google Scholar 

  22. S. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta, The Splash-2 Programs: characterization and methodological considerations, International Symposium on Computer, Architecture, pp. 24–36 (1995)

    Google Scholar 

  23. L. Chunho, M. Potkonjak, W. Mangione-Smith, Mediabench: a tool for evaluating and synthesizing multimedia and communications systems, International Symposium on Microarchitecture, pp. 330–335 (1997)

    Google Scholar 

  24. The Standard Performance Evaluation Corporation (2013). http://www.spec.org/

  25. T. Bjerregaard, S. Mahadevan, A survey of research and practices of network-on-chip. ACM Comput. Surv. 38(1), 1–51 (2006) (Article 1)

    Google Scholar 

  26. J. Madsen, S. Mahadevan, K. Virk, M. Gonzalez, Network-on-chip modeling for system-level multiprocessor simulation, in International Real-Time Systems Symposium (RTSS), pp. 82–92 (2003)

    Google Scholar 

  27. S. Mahadevan, M. Storgaard, J. Madsen, K. Virk, ARTS: a system-level framework for modeling MPSoC components and analysis of their causality, in International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), pp. 480–483 (2005)

    Google Scholar 

  28. J. Xu, W. Wolf, J. Henkel, S. Chakradhar, A methodology for design, modeling, and analysis of networks-on-chip, in International Symposium on Circuits and Systems (ISCAS), pp. 1778–1781 (2005)

    Google Scholar 

  29. E. Bolotin, I. Cidon, R. Ginosaur, A. Kolondy, QNoC: QoS architecture and design process for network-on-chip. J. Syst. Archit. 50(2–3), 105–128 (Feb. 2004)

    Article  Google Scholar 

  30. P. Pande, C. Grecu, M. Jones, A. Ivanov, R. Saleh, Effect of traffic localization on energy dissipation in NoC-based interconnect, in International Symposium on Circuits and Systems, pp. 1774–1777 (2005)

    Google Scholar 

  31. N. Banerjee, P. Vellanki, K. Chatha, A power and performance model for network-on-chip architectures, in Proceeding of Design, Automation and Testing in Europe Conference (DATE), pp. 1250–1255 (2004)

    Google Scholar 

  32. H.-S. Wang, X. Zhu, L.-S. Peh, S. Malik, Orion: a power-performance simulator for interconnection networks, in International Symposium on Microarchitecture, pp. 294–305 (2002)

    Google Scholar 

  33. SystemC, The SystemC Version 2.0.1 (2002). http://www.systemc.org

  34. T. Fitzpatrick, System verilog for VHDL users, in Proceeding of Design, Automation and Testing in Europe Conference (DATE), pp. 1530–1591 ( 2004)

    Google Scholar 

  35. M. Coppola, S. Curaba, M. Grammatikakis, R. Locatelli, G. Maruccia, F. Papariello, OCCN: a NoC modeling framework for design exploration. J. Syst. Archit. 50(23), 129–163 (Feb. 2004)

    Article  Google Scholar 

  36. B. Juurlink, H. Andwijshoff, A quantitative comparison of parallel computation models. ACM Trans. Comput. Syst. (TOCS) 16(3), 271–318 (Aug. 1998)

    Article  Google Scholar 

  37. R. Vaidya, A. Sivasubramaniam, C. Das, Impact of virtual channels and adaptive routing on application performance. IEEE Trans. Parallel Distrib. Syst. 12(2), 223–237 (Feb. 2001)

    Article  Google Scholar 

  38. T. Bjerregaard, S. Mahadevan, J. Spars, A channel library for asynchronous circuit design supporting mixed-mode modeling, in International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp. 301–310 (2004)

    Google Scholar 

  39. G. Leary, K. Srinivasan, K. Mehta, K. Chatha, Design of network-on-chip architectures with a genetic algorithm-based technique. IEEE Trans. Very Large Scale Integr. (VLSI) 17(5), 674–687 (2009)

    Article  Google Scholar 

  40. D. Siguenza-Tortosa, J. Nurmi, Vhdl-based simulation environment for proteo noc, in High-Level Design Validation and Test Workshop, pp. 1–6 ( 2002)

    Google Scholar 

  41. A. Pinto, L.P. Carloni, A.L. Sangiovanni Vincentelli, A methodology for constraint-driven synthesis of on-chip communications. IEEE Trans. Comput Aided Des. Integr. Circ. Syst. 28(3), 364–377 (March 2009)

    Article  Google Scholar 

  42. V. Puente, J.A. Gregorio, R. Beivide, SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems, in<error l="74" c="Undefined command " />Proceeding of of Euromicro Workshop on Parallel, Distributed and Network-based Processing, pp. 15–22 (2002)

    Google Scholar 

  43. L. Se-Joong, S. Seong-Jun, L. Kangmin, W. Jeong-Ho, K. Sung-Eun, N. Byeong-Gyu, Y. Nam, An 800MHz star-connected on-chip network for application to systems on a chip, in Solid-State Circuits Conference, pp. 468–469 (2003)

    Google Scholar 

  44. A. Jalabert, S. Murali, L. Benini, G. De Micheli, xpipesCompiler: a tool for instantiating application specific Networks on Chips, in Proceeding of the Design and Test Europe Conference (DATE), pp. 884–889 (2004)

    Google Scholar 

  45. L. Kangmin, L. Se-Joong, K. Sung-Eun, C. Hye-Mi, K. Donghyun, K. Sunyoung, L. Min-Wuk, Y. Hoi-Jun, A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform, in Solid-State Circuits Conference, pp. 152–518 (2004)

    Google Scholar 

  46. I. Loi, F. Angiolini, L. Benini, Supporting vertical links for 3D networks on chip: toward an automated design and analysis flow, in Proceeding of the International Conference on Nano-Networks (Nano-Net), Article 15 (2007)

    Google Scholar 

  47. S. Yan, B. Lin, Design of application-specific 3D networks-on-chip architectures, in Proceeding of the International Conference on Computer Design (ICCD), pp. 142–149 (2008)

    Google Scholar 

  48. Ge-Ming Chiu, The odd-even turn model for adaptive routing. IEEE Trans. Parallel Distrib. Syst. 11(7), 729–738 (July 2000)

    Article  Google Scholar 

  49. S. Murali, G. De Micheli, Bandwidth constrained mapping of cores on to NoC architectures, in Proceeding of the Design and Test Europe Conference (DATE), pp. 884–889 (2004)

    Google Scholar 

  50. I. Walter, I. Cidon, A. Kolodny, D. Sigalov, The era of many-modules SoC: revisiting the NoC mapping problem, in Proceeding of the International Workshop on Network on Chip Architectures (NoCArc), pp. 43–48 (2009)

    Google Scholar 

  51. J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie, V. Narayanan, M. Yousif, C. Das, A novel dimensionally-decomposed router for on-chip communication in 3D architectures, in International Symposium on Computer Architecture (ISCA), pp. 138–149 (2007)

    Google Scholar 

  52. T.M. Pinkston, R. Pang, J. Duato, Deadlock-free dynamic reconfiguration schemes for increased network dependability. IEEE Trans. Parallel Distrib. Syst. 14(8), 780–794 (Aug. 2003)

    Article  Google Scholar 

  53. S. Murali, G. De Micheli, SUNMAP: A tool for automatic topology selection and generation for NoCs, in Proceeding of the Annual Design Automation Conference (DAC), pp. 914–919 (2004)

    Google Scholar 

  54. T. Ahonen et al, Topology optimization for application specific networks on chip, in Proceeding of the International Workshop on System Level Interconnect Prediction (SLIP), pp. 53–60 (2004)

    Google Scholar 

  55. U. Orgas, R. Marculescu, Energy- and performance-driven NoC communication architecture synthesis using a decomposition approach, in Proceeding of the Design Automation and Test in Europe (DATE), pp. 352–357 (2005)

    Google Scholar 

  56. J. Hu, R. Marculescu, Energy-aware mapping for tile-based NOC architectures under performance constraints, in Asia and Sourth Pacific Design Automation Conference (ASP-DAC), pp. 233–239 (2003)

    Google Scholar 

  57. N. Koziris, M. Romesis, P. Tsanakas, G.Papakonstantinou, An efficient algorithm for the physical mapping of clustered task graphs onto multiprocessor architectures, in Proceeding of Euromicro Workshop on Parallel and Distributed Processing

    Google Scholar 

  58. S. Murali, G. De Micheli, Bandwidth-constrained mapping of cores onto NoC architectures, in Proceeding of the Design, Automation and Test in Europe Conference and Exhibition (DATE) 2004), pp. 896–901 (2004)

    Google Scholar 

  59. E. Rijpkema, K. Goossens, A. Radulescu, J. Dielissen, J. van Meerbergen, P. Wielage, E. Waterlander, Trade-offs in the design of a router with both guaranteed and best-effort services for networks on chip. IEE Proc. Comput. Digital Tech. 150(5), 294–302 (2003)

    Google Scholar 

  60. F. Feliciian, S. Furber, An asynchronous on-chip network router with quality-of-service (QoS) support, in Proceeding of SOC Conference, pp. 274–277 (2004)

    Google Scholar 

  61. R. Guerin, A. Orda, D. Williams, QoS routing mechanisms and OSPF extensions. Global Telecommun Conf. (GLOBECOM) 3, 1903–1908 (1997)

    Article  Google Scholar 

  62. S. Li, L. Peh, A. Kumar, N.K. Jha, Thermal modeling, characterization and management of on-chip Networks, in International Symposium on Microarchitecture (MICRO-37), pp. 67–78 (2004)

    Google Scholar 

  63. G. Ascia, V. Catania, M. Palesi, Multi-objective mapping for mesh-based NoC architectures, in International Conference on Hardware/Software Codesign and System, Synthesis (CODES+ISSS), pp. 182–187 (2004)

    Google Scholar 

  64. J. Hu, R. Marculescu, Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 24(4), 551–562 (April 2005)

    Google Scholar 

  65. M. Dall’Osso, G. Biccari, L. Giovannini, D. Bertozzi, L. Benini, Xpipes: a latency insensitive parameterized network-on-chip architecture for multi-processor SoCs, in International Conference on Computer Design (ICCD), pp. 45–48 (2012)

    Google Scholar 

  66. R. Tamhankar, S. Murali, S. Stergiou, A. Pullini, F. Angiolini, L. Benini, G. De Micheli, Timing-error-tolerant network-on-chip design methodology. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 26(7), 1297–1310 (July 2007)

    Article  Google Scholar 

  67. M.R. Guthaus, J.S. Ringenberg, D. Ernst, T.M. Austin, T. Mudge, R.B. Brown, MiBench: a free, commercially representative embedded benchmark suite, in Annual Workshop on Workload Characterization, pp. 3–14 (2001)

    Google Scholar 

  68. R. Weicker, Dhrystone: a synthetic systems programming benchmark. Commun. ACM 27(10), 1013–1030 (Oct. 1984)

    Article  Google Scholar 

  69. M. Jabbar, D. Houzet, 3D architecture implementation: a survey, in IP Embedded System Conference (IP-SOC), pp. 1–5 (2011)

    Google Scholar 

  70. R. Kourdy, M.R. Nouri, Compare performance of 2D and 3D mesh architectures in network-on-chip. J. Comput. 4(1), 83–87 (2012)

    Google Scholar 

  71. A. Gerstlauer, Communication abstractions for system-level design and synthesis. Technical Report TR-03-30, Center for Embedded Computer Systems, University of California, Irvine, CA, 2003

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Konstantinos Tatas .

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Tatas, K., Siozios, K., Soudris, D., Jantsch, A. (2014). NoC Modeling and Topology Exploration. In: Designing 2D and 3D Network-on-Chip Architectures. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4274-5_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4274-5_2

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4273-8

  • Online ISBN: 978-1-4614-4274-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics