Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

Multilevel Signaling for High-Speed Chiplet-to-Chiplet Communication

  • Conference paper
  • First Online:
VLSI-SoC: Design Trends (VLSI-SoC 2020)

Abstract

Increasing memory bandwidth bottleneck, die cost, lower yields at scaled nodes and need for more compact and power efficient devices have led to sustained innovations in integration methodologies. While the semiconductor market has already started witnessing some of these in product forms, many other techniques are currently under investigation in both academia and industry. In this chapter, we explore a 2.5D integrated system where the interconnects are modelled in the form of coplanar microstrip lines. A model is developed to understand the behavior of these wireline structures and is used to study their signaling characteristics. Generally, the conventional NRZ signaling is used to transmit data. As an alternative, we explore a higher order modulation scheme, namely, PAM4. Through the simulation study, we demonstrate that PAM4 can provide up to 63% better energy efficiency and 27% higher bandwidth density than NRZ.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Subscribe and save

Springer+ Basic
$34.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 109.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 139.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Hennessy, J.: The End of Moore’s Law & Faster General Purpose Computing, and a New Golden Age, DARPA ERI Summit, July 2018

    Google Scholar 

  2. Holt, B.: Advancing Moore’s Law. Intel Investor Meeting, Santa Clara (2015)

    Google Scholar 

  3. LaPadeus, M.: Big Trouble At 3nm, Semiconductor Engineering, June 2018. https://semiengineering.com/big-trouble-at-3nm/

  4. Zhang, X., Im, S.H., Huang, R., Ho, P.S.: Chip package interactions. In: Bakir, M., Meindl, J. (eds.) Integrated Interconnect Technologies for 3D Nanoelectronic Systems, Artech House, Norwood, MA, USA, Chapter 2 (2008)

    Google Scholar 

  5. Iyer, S.S.: Heterogeneous Integration for Performance and Scaling. IEEE Trans. Compon. Packag. Manuf. Technol. 6, 973–982 (2016)

    Google Scholar 

  6. Mahajan, R., et al.: Embedded multidie interconnect bridge–a localized, high-density multichip packaging interconnect. IEEE Trans. Compon. Package. Manuf. Technol. 9(10), 1952–1962 (2019). https://doi.org/10.1109/TCPMT.2019.2942708

  7. Collaert, N.: 1.3 future scaling: where systems and technology meet. In: IEEE International Solid-State Circuits Conference (ISSCC), pp. 25–29 (2020). https://doi.org/10.1109/ISSCC19947.2020.9063033

  8. Heterogeneous Integration Roadmap (HIR): Chapter 22: Interconnects for 2D and 3D Architectures. https://eps.ieee.org/images/files/HIR_2019/HIR1_ch22_2D-3D.pdf

  9. Lee, H.J., Mahajan, R., Sheikh, F., Nagisetty, R., Deo, M.: Multi-die integration using advanced packaging technologies. In: IEEE Custom Integrated Circuits Conference (CICC), pp. 1–7 (2020). https://doi.org/10.1109/CICC48029.2020.9075901

  10. Erdmann, C., et al.: A heterogeneous 3D-IC consisting of two 28 nm FPGA die and 32 reconfigurable high-performance data converters. IEEE J. Solid-State Circuits 50(1), 258–269 (2015). https://doi.org/10.1109/JSSC.2014.2357432

  11. Kim, D.H., et al.: Design and analysis of 3D-MAPS (3D massively parallel processor with stacked memory). IEEE Trans. Comput. 64(1), 112–125 (2015). https://doi.org/10.1109/TC.2013.192

  12. Lin, M.S., et al.: A 7nm 4GHz Arm®-core-based CoWoS® Chiplet design for high performance computing. In: Symposium on VLSI Circuits, Kyoto, Japan, pp. C28–C29 (2019). https://doi.org/10.23919/VLSIC.2019.8778161

  13. Vivet, P., et al.: 2.3 a 220GOPS 96-core processor with 6 Chiplets 3D-stacked on an active interposer offering 0.6 ns/mm latency, 3Tb/s/mm2 Inter-Chiplet Interconnects and 156mW/mm2@ 82%-Peak-Efficiency DC-DC Converters. In: IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, pp. 46–48 (2020). https://doi.org/10.1109/ISSCC19947.2020.9062927

  14. Mahajan, R., et al.: Embedded multi-die interconnect bridge (EMIB) - a high density, high bandwidth packaging interconnect. In: IEEE Electronic Components and Technology Conference (ECTC), Las Vegas, NV, pp. 557–565 (2016). https://doi.org/10.1109/ECTC.2016.201

  15. Jo, P.K., Rajan, S.K., Gonzalez, J.L., Bakir, M.S.: Embedded polylithic integration of 2.5-D and 3-d chiplets enabled by multi-height and fine-pitch CMIs. IEEE Trans. Comput. Packag. Manuf. Technol. 10(9), 1474–1481 (2020). https://doi.org/10.1109/TCPMT.2020.3011325

  16. England, L., Arsovski, I.: Advanced packaging saves the day! - How TSV technology will enable continued scaling. In: IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, pp. 3.5.1–3.5.4 (2017). https://doi.org/10.1109/IEDM.2017.8268320

  17. Wei, H., Shulaker, M., Wong, H.S.P., Mitra, S.: Monolithic three-dimensional integration of carbon nanotube FET complementary logic circuits. In: IEEE International Electron Devices Meeting (IEDM), Washington, DC, pp. 19.7.1–19.7.4 (2013). https://doi.org/10.1109/IEDM.2013.6724663

  18. Liu, C., Lim, S.K.: A design tradeoff study with monolithic 3D integration. In: International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, pp. 529–536 (2013). https://doi.org/10.1109/ISQED.2012.6187545

  19. Beyne, E.: Short course on: heterogeneous system partitioning and the 3D interconnect technology landscape. In: Symposia on VLSI Technology and Circuits (2020)

    Google Scholar 

  20. Kaul, A., Peng, X., Kochupurackal Rajan, S., Yu, S., Bakir, M.S.: Thermal modeling of 3D polylithic integration and implications on BEOL RRAM performance. In: IEEE International Electron Devices Meeting (IEDM), Virtual Conference (2020)

    Google Scholar 

  21. Zhang, Y., Zhang, X., Bakir, M.S.: Benchmarking digital die-to-die channels in 2.5-D and 3-D heterogeneous integration platforms. IEEE Trans. Electron. Devices 65(12), 5460–5467 (2018). https://doi.org/10.1109/TED.2018.2876688

  22. Sinha, S., et al.: A high-density logic-on-logic 3DIC design using face-to-face hybrid wafer-bonding on 12nm FinFET process. IEEE International Electron Devices Meeting (IEDM), Virtual Conference (2020)

    Google Scholar 

  23. Panth, S., Samadi, K., Du, Y., Lim, S.K.: High-density integration of functional modules using monolithic 3D-IC technology. In: Asia and South Pacific Design Automation Conference (ASP-DAC), Yokohama, pp. 681–686 (2013). https://doi.org/10.1109/ASPDAC.2013.6509679

  24. Lee, C.C., et al.: An overview of the development of a GPU with integrated HBM on silicon interposer. In: Electronic Components and Technology Conference (ECTC), Las Vegas, NV, pp. 1439–1444 (2016). https://doi.org/10.1109/ECTC.2016.348

  25. Tsugawa, H., et al.: Pixel/DRAM/logic 3-layer stacked CMOS image sensor technology. In: IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2017, pp. 3.2.1–3.2.4 (2017). https://doi.org/10.1109/IEDM.2017.8268317

  26. Srimani, T., Hills, G., Lau, C., Shulaker, M.: Monolithic three-dimensional imaging system: carbon nanotube computing circuitry integrated directly over silicon imager. In: IEEE International Electron Devices Meeting (IEDM), Symposium on VLSI Technology, Kyoto, Japan, 2019, pp. T24–T25 (2019). https://doi.org/10.23919/VLSIT.2019.8776514

  27. Shulaker, M.M., et al.: Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 547, 74–78 (2017). https://doi.org/10.1038/nature22994

  28. Lee, J.C.: High bandwidth memory(HBM) with TSV technique. In: International SoC Conference(ISOCC), Jeju, pp. 181–182 (2016). https://doi.org/10.1109/ISOCC.2016.7799847

  29. Gomes, W., et al.: 8.1 Lakefield and mobility compute: A 3D stacked 10nm and 22FFL hybrid processor system in 1212mm2, 1mm package-on-package. In: IEEE International Solid-State Circuits Conference - (ISSCC), San Francisco, CA, USA, pp. 144–146 (2020). https://doi.org/10.1109/ISSCC19947.2020.9062957

  30. Batude, P., et al.: 3D monolithic integration. In: IEEE International Symposium on Circuits and Systems (ISCAS), Rio de Janeiro, pp. 2233–2236 (2011). https://doi.org/10.1109/ISCAS.2011.5938045

  31. Bishop, M.D., Wong, H.S.P., Mitra, S., Shulaker, M.M.: Monolithic 3-D integration. IEEE Micro 39(6), 16–27 (2019). https://doi.org/10.1109/MM.2019.2942982

  32. Kirschning, M., Jansen, R.H.: Accurate wide-range design equations for the frequency dependent characteristic of parallel coupled microstrip lines. MTT-32, January 1984. https://doi.org/10.1109/TMTT.1984.1132616

  33. Veyres, C, Fouad Hanna, V. : Extension of the application of conformal mapping techniques to coplanar lines with finite dimensions. Int. J. Electron. 48(1), 47–56 (1980)

    Google Scholar 

  34. Ghione, G., Naldi, C.U.: Parameters of coplanar waveguides with lower ground plane. Electron. Lett. 19(18), 734–735 (1983)

    Google Scholar 

  35. Ghione, G., Naldi, C.U.: Coplanar waveguides for MMIC applications: effect of upper shielding, conductor backing, finite-extent ground planes, and line-to-line coupling. IEEE Trans. Microwave Theory Tech. 35(3), 260–267 (1987)

    Google Scholar 

  36. Bedair, S., Wolff, I.: Fast and accurate analytic formulas for calculating the parameters of a general broadside-coupled coplanar waveguide for MMIC applications. IEEE Trans. Microwave Theory Tech. 37(5), 843–850 (1989)

    Google Scholar 

  37. Wang, Y.C., Okoro, J.A.: Impedance calculations for modified coplanar waveguides. Int. J. Electron. 68(5), 861–875 (1990)

    Google Scholar 

  38. Simons, R.N.: Coplanar Waveguide Circuits, Components, and Systems. Wiley (2001). ISBN 0-471-16121-7

    Google Scholar 

  39. Saligram, R, Kaul, A, Bakir, M. S, Raychowdhury, A: A model study of multilevel signaling for high-speed chiplet-to-chiplet communication in 2.5D integration. In: 28th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), October 2020

    Google Scholar 

  40. Saberi, M., Lotfi, R., Mafinezhad, K., Serdijn, W.A.: Analysis of power consumption and linearity in capacitive digital-to-analog converters used in successive approximation ADCs. IEEE Trans. Circuits Syst. I Regular Papers 58 (2011). https://doi.org/10.1109/TCSI.2011.2107214

  41. O’Driscoll, S., Shenoy, K. V., Meng, T. H.: Adaptive resolution ADC array for an implantable neural sensor. IEEE Trans. Biomed. Circuits Syst. 5(2), 120–130 (2011). https://doi.org/10.1109/TBCAS.2011.2145418

  42. Murmann, B.: Energy Limits in A/D Converters, SSCS Talk (2012)

    Google Scholar 

  43. Jeong, D.K., Borriello, G., Hodges, D.A., Katz, R.H.: Design of PLL-based clock generation circuits. IEEE J. Solid-State Circuits 22(2), pp. 255–261 (1987). https://doi.org/10.1109/JSSC.1987.1052710

  44. Duarte, D., Vijaykrisnan, N., Irwin, M.J.: A complete phase-locked loop power consumption model. In: Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, Paris, France, 2002, p. 1108. https://doi.org/10.1109/DATE.2002.998464

  45. Rabaey, J.: Digital Integrated Circuits: A Design Perspective. Prentice-Hall International, NJ (2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rakshith Saligram .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 IFIP International Federation for Information Processing

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Saligram, R., Kaul, A., Bakir, M.S., Raychowdhury, A. (2021). Multilevel Signaling for High-Speed Chiplet-to-Chiplet Communication. In: Calimera, A., Gaillardon, PE., Korgaonkar, K., Kvatinsky, S., Reis, R. (eds) VLSI-SoC: Design Trends. VLSI-SoC 2020. IFIP Advances in Information and Communication Technology, vol 621. Springer, Cham. https://doi.org/10.1007/978-3-030-81641-4_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-81641-4_8

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-81640-7

  • Online ISBN: 978-3-030-81641-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics