Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

A Study on Approximate Computing for Non-volatile Memory-Based Memory Systems

  • Original Article
  • Published:
Journal of Electrical Engineering & Technology Aims and scope Submit manuscript

Abstract

Approximate computing is a technique that emphasizes efficiency and energy conservation over absolute accuracy by permitting some level of error in outcomes. Among several application fields, this paper focuses on a cache-coherence protocol in terms of approximate computing, because as core counts increase. To improve the computational efficiency, cache lines are indicated as ‘approximate’ or ‘precise’. While the existing protocols focus on cache line accuracy, systems using non-volatile memory consider both accuracy and energy consumption. This paper introduces a novel cache coherence protocol that integrates states for approximate values. The simulation results show an 11.2% reduction in data transfers with the middle confidence level.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Subscribe and save

Springer+ Basic
$34.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

Explore related subjects

Discover the latest articles, news and stories from top researchers in related subjects.

References

  1. Barua HB, Chandra Mondal K (2019) Approximate computing: a survey of recent trends—bringing greenness to computing and communication. J Inst Eng (India) Ser B 100(6):619–626

    Article  Google Scholar 

  2. Venkataramani S et al (2020) Efficient AI system design with cross-layer approximate computing. Proc IEEE 108(12):2232–2250

    Article  Google Scholar 

  3. Irtija N et al (2021) Energy efficient edge computing enabled by satisfaction games and approximate computing. IEEE Trans Green Commun Netw 6(1):281–294

    Article  Google Scholar 

  4. Younis A, Tuyen X, Tran, Pompili D (2019) Energy-latency-aware task offloading and approximate computing at the mobile edge. In: IEEE 16th International conference on mobile ad hoc and sensor systems (MASS). pp 299–307

  5. Gorantla A, Deepa P (2019) Design of approximate subtractors and dividers for error tolerant image processing applications. J Electron Test 35(6):901–907

    Article  Google Scholar 

  6. Masadeh M, Hasan O, Tahar S (2019) Using machine learning for quality configurable approximate computing. In: 2019 design, automation & test in europe conference & exhibition (DATE), pp 1575–1578

  7. Barone S et al (2021) Multi-objective application-driven approximate design method. IEEE Access 9:86975–86993

    Article  Google Scholar 

  8. Hu X et al (2016) Review of improved Monte Carlo methods in uncertainty-based design optimization for aerospace vehicles. Prog Aerosp Sci 86:20–27

    Article  Google Scholar 

  9. Nair R (2014) Big data needs approximate computing: technical perspective. Commun ACM 58(1):104–104

    Article  Google Scholar 

  10. Miguel J, San et al (2015) Doppelgänger: a cache for approximate computing. In: Proceedings of the 48th international symposium on microarchitecture, pp 50–61

  11. Ranjan A et al (2017) STAxCache: an approximate, energy efficient STT-MRAM cache, Design, automation & test in Europe conference & exhibition (DATE), pp 356–361

  12. Atoofian E (2020) Approximate cache in GPGPUs. ACM Trans Embedded Comput Syst (TECS) 19(5):1–22

    Article  Google Scholar 

  13. Juhee C (2023) Cache replacement policy for approximate computing in many core systems. In: International conference on electrical facilities and information technologies

  14. Huan J et al (2022) Intrinsically secure non-volatile memory using ReRAM devices. IEEE Access 10:104577–104588

    Article  Google Scholar 

  15. Muralimanohar N et al (2022) Phase change memory: from devices to systems. Springer, Heidelberg

    Google Scholar 

  16. Gajaria D, Gomez KA, Adegbija T (2022) A study of STT-RAM-based in-memory computing across the memory hierarchy. In: IEEE 40th international conference on computer design (ICCD), pp 685–692

  17. Sakellariou V, Stouraitis T, Mohammad B (2023) MRAM-based in-memory computing. In: Memory computing hardware accelerators for data-intensive applications, pp 57–79

  18. Binkert N (2011) The gem5 simulator. ACM SIGARCH Comput Arch News 39(2):1–7

    Article  Google Scholar 

  19. Henning JL (2006) Spec cpu2006 benchmark descriptions. ACM SIGARCH Comput Arch News 34(4):1–17

    Article  Google Scholar 

  20. Zhu F, Zhen S, Yi X, Pei H, Hou B, He Y (2022) Design of approximate Radix-256 booth encoding for error-tolerant computing. IEEE Trans Circuits Syst II Express Briefs 69(4):2286–2290

    Google Scholar 

  21. Edouard Yvinec A, Dapogny M, Cord BK (2022) RED++: data-free pruning of deep neural networks via input splitting and output merging. IEEE Trans Pattern Anal Mach Intell 45(3):3664–3676

    Article  Google Scholar 

  22. Reviriego P, Liu S, Ertl O, Niknia F, Lombardi F (2022) Computing the similarity estimate using approximate memory. IEEE Trans Emerg Top Comput 10(3):1593–1604

    Article  Google Scholar 

  23. Vasileios Leon G, Makris S, Xydis K, Pekmestzi, Soudris D (2022) MAx-DNN: multi-Level arithmetic approximation for energy-efficient DNN hardware accelerators. In: IEEE latin america symposium on circuits and system (LASCAS), pp 1–4

  24. Giorgos Armeniakos G, Zervakis D, Soudris MB, Tahoori, Henkel Jörg (2022) Cross-layer approximation for printed machine learning circuits. In: Design, automation & test in Europe (DATE), pp 1–6

  25. Yang Sui M, Yin Y, Xie H, Phan SA, Zonouz Yuan B (2021) CHIP: CHannel independence-based pruning for compact neural networks. Adv Neural Inf Process Syst 34:24604–24616

    Google Scholar 

Download references

Acknowledgements

This research was funded by a 2023 research Grant from Sangmyung University(2023-A000-0093).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Juhee Choi.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Choi, J. A Study on Approximate Computing for Non-volatile Memory-Based Memory Systems. J. Electr. Eng. Technol. (2024). https://doi.org/10.1007/s42835-024-01795-x

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s42835-024-01795-x

Keywords