Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1687399.1687513acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

A framework for early and systematic evaluation of design rules

Published: 02 November 2009 Publication History

Abstract

Design rules have been the primary contract between technology and design and are likely to remain so to preserve abstractions and productivity. While current approaches for defining design rules are largely unsystematic and empirical in nature, this paper offers a novel framework for early and systematic evaluation of design rules and layout styles in terms of major layout characteristics of area, manufacturability, and variability. Due to the focus on co-exploration in early stages of technology development, we use first order models of variability and manufacturability (instead of relying on accurate simulation) and layout topology/congestion-based area estimates (instead of explicit and slow layout generation). The framework is used to efficiently co-evaluate several debatable rules (evaluation for a 104-cell library takes 20 minutes). Results show that: a) diffusion-rounding mainly from diffusion power-straps is a dominant source of variability, b) cell-area overhead of fixed gate-pitch implementation compared to 1D-poly implementation is tolerable (5%) given the improvement in variability, and c) 1D-poly restriction, which improves manufacturability and variability, has almost no area overhead compared to 2D-poly. In addition, we explore gate-spacing rules using our evaluation framework. This exploration yields almost identical values as those of a commercial 65nm process, which serves as a validation for our approach.

References

[1]
International Technology Roadmap for Semiconductors, Report 2007.
[2]
I. Yoneda et al., "Study of nanoimprint lithography for applications toward 22nm node cmos devices," in Proc. SPIE, vol. 6921, 2008, p. 692104.
[3]
T. Maruyama et al., "Ebdw technology for eb shuttle at 65nm node and beyond," in Proc. SPIE, vol. 6921, 2008, p. 69210H.
[4]
H. Meiling et al., "Euvl system: moving towards production," in Proc. SPIE, vol. 7271, 2009, p. 727102.
[5]
K. Lai et al., "32 nm logic patterning options with immersion lithography," in Proc. SPIE, vol. 6924, 2008, p. 69243C.
[6]
C. A. Mack, "Seeing double," IEEE Spectrum, pp. 46--51, 2008.
[7]
G. Bailey et al., "Double pattern eda solutions for 32nm hp and beyond," in Proc. SPIE, vol. 6521, 2007, p. 65211K.
[8]
A. Hazelton et al., "Double patterning requirements for optical lithography and prospects for optical extension without double patterning," in Proc. SPIE, vol. 6924, 2008, p. 69240R.
[9]
A. Balasinski et al., "Patterning techniques for next generation ic's," in Proc. SPIE, vol. 6798, 2008, p. 679803.
[10]
L. W. Liebmann, "Layout impact of resolution enhancement techniques: imped-iment or opportunity?" in Proc. IEEE Intl. Symp. on Physical Design ISPD'03, 2003, pp. 110--117.
[11]
L. W. Liebmann et al., "High-performance circuit design for the ret-enabled 65nm technology node," in Proc. SPIE, vol. 5379, 2004, pp. 20--29.
[12]
Y. Zhang, J. Cobb, A. Yang, J. Li, K. Lucas, and S. Sethi, "32nm design rule and process exploration flow," in Proc. SPIE, vol. 7122, 2008, p. 71223Z.
[13]
V. Joshi, B. Cline, D. Sylvester, D. Blaauw, and K. Agarwal, "Leakage power reduction using stress-enhanced layouts," in Proc. ACM/IEEE Design Automation Conference DAC'08, vol. 7122, 2008.
[14]
Y. Sheu et al., "Modeling well edge proximity effect on highly-scaled mosfets," in Proc. of IEEE CICC'05, 2005, pp. 831--834.
[15]
L. Capodieci, P. Gupta, A. B. Kahng, D. Sylvester, and J. Yang, "Toward a methodology for manufacturability-driven design rule exploration," in Proc. IEEE/ACM Design Automation Conference DAC'04, 2004, pp. 311--316.
[16]
P. Gupta, K. Jeong, A. B. Kahng, and C. Park, "Electrical metrics for lithographic line-end tapering," in Proc. SPIE, vol. 7028, 2008, p. 70283A.
[17]
V. Dai, L. Capodieci, J. Yang, and N. Rodriguez, "Developing drc plus rules through 2d pattern extraction and clustering techniques," in Proc. SPIE, vol. 7275, 2009, p. 727517.
[18]
S. Chang et al., "Exploration of complex metal 2d design rules using inverse lithography," in Proc. SPIE, vol. 7275, 2009, p. 72750D.
[19]
A. R. Subramaniam, R. Singhal, C. Wang, and Y. Cao, "Design rule optimization of regular layout for leakage reduction in nanoscale design," in Proc. Asia and South Pacific Design Automation ASP-DAC'08, 2008, pp. 474--479.
[20]
S. Kobayashi et al., "Yield-centric layout optimization with precise quantification of lithographic yield loss," in Proc. SPIE, vol. 7028, 2008, p. 70280O.
[21]
L. Liebmann, L. Pileggi, J. Hibbeler, V. Rovner, T. Jhaveri, and G. Northrop, "Simplify to survive, prescriptive layouts ensure profitable scaling to 32nm and beyond," in Proc. SPIE, vol. 7275, 2009, p. 72750A.
[22]
J. Yang, L. Capodieci, and D. Sylvester, "Layout verification and optimization based on flexible design rules," in Proc. SPIE, vol. 6156, 2006, p. 61560A.
[23]
J. P. S. Kuhn, "The hungarian method for the assignment problem," Naval Research Logistics Quarterly, vol. 2, pp. 83--97, 1955.
[24]
C. Hwang, Y. Hsieh, Y. Lin, and Y. Hsu, "A fast transistor-chaining algorithm for cmos cell layout," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 9, no. 7, pp. 781--786, 1990.
[25]
Nangate open cell library v1.2. {Online}. Available: http://www.si2.org/openeda. si2.org/projects/nangatelib
[26]
B. Eichelberger et al., "32nm overlay improvement capabilities," in Proc. SPIE, vol. 6924, 2008, p. 69244C.
[27]
W. Maly, "Modeling of lithography related yield losses for cad of vlsi circuits," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. CAD-3, no. 3, 1985.
[28]
I. Koren and Z. Koren, "Defect tolerance in vlsi circuits: techniques and yield analysis," in Proc. of the IEEE, vol. 86, no. 9, 1998, pp. 1819--1837.
[29]
d. G. J. P., "Yield modeling and beol fundamentals," in Proc. IEEE/ACM System Level Interconnect Prediction SLIP'01, 2001, pp. 135--163.
[30]
C. H. Stapper, "Modeling of integrated circuit defect sensitivities," IBM Journal of Research and Development, vol. CAD-3, no. 3, pp. 549--557, 1983.
[31]
P. Gupta, A. B. Kahng, Y. Kim, S. Shah, and D. Sylvester, "Investigation of diffusion rounding for post-lithography analysis," in Proc. Asia and South Pacific Design Automation ASP-DAC'08, 2008, pp. 480--485.
[32]
R. Singhal, A. Balijepalli, A. Subramaniam, F. Liu, S. Nassif, and Y. Cao, "Modeling and analysis of non-rectangular gate for post-lithography circuit simulation," in Proc. of Design Automation Conference DAC'07, 2007, pp. 823--828.
[33]
P. Gupta, A. B. Kahng, S. Nakagawa, S. Shah, and P. Sharma, "Lithography simulation-based full-chip design analyses," in Proc. SPIE, vol. 6156, 2006, p. 61560T.
[34]
S. X. Shi, P. Yu, and D. Z. Pan, "A unified non-rectangular device and circuit simulation model for timing and power," in Proc. IEEE/ACM Int'l Conf. on Computer-Aided Design ICCAD'06, 2006.
[35]
M. C. Smayling, H. Liu, and L. Cai, "Low k1 logic design using gridded design rules," in Proc. SPIE, vol. 6925, 2008, p. 69250B.
[36]
L. Pileggi, H. Schmit, A. Strojwas, P. Gopalakrishnan, V. Kheterpal, A. Koorapaty, C. Patel, V. Rovner, and K. Tong, "Exploring regular fabrics to optimize the performance-cost trade-off," in Proc. IEEE/ACM Design Automation Conference DAC'03, 2003.
[37]
Freepdk. {Online}. Available: http://www.eda.ncsu.edu/wiki/FreePDK
[38]
{Online}. Available: http://www.opencores.org/
[39]
A. B. Kahng, C. Park, and X. Xu, "Fast dual graph-based hotspot detection," in Proc. SPIE, vol. 6349, 2006, p. 63490H.
[40]
M. Cho, K. Yuan, Y. Ban, and D. Z. Pan, "Eliad: efficient lithography aware detailed router with compact post-opc printability prediction," in Proc. Design Automation Conference DAC'08, 2008, pp. 504--509.
[41]
K. Jeong, A. B. Kahng, and K. Samadi, "Quantified impacts of guardband reduction on design process outcomes," in Proc. Intl. Symp. Quality Electronic Design ISQED'08, 2008, pp. 790--797.

Cited By

View all
  • (2023)DRDebug: Automated Design Rule DebuggingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.317472242:2(606-615)Online publication date: Feb-2023
  • (2017)Design space analysis of novel interconnect constructs for 22nm FDX technologyDesign-Process-Technology Co-optimization for Manufacturability XI10.1117/12.2258668(101480Z)Online publication date: 17-Mar-2017
  • (2016)An Evaluation Framework for Nanotransfer Printing-Based Feature-Level Heterogeneous Integration in VLSI CircuitsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.247728224:5(1858-1870)Online publication date: 1-May-2016
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '09: Proceedings of the 2009 International Conference on Computer-Aided Design
November 2009
803 pages
ISBN:9781605588001
DOI:10.1145/1687399
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 02 November 2009

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article

Funding Sources

Conference

ICCAD '09
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)5
  • Downloads (Last 6 weeks)3
Reflects downloads up to 21 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)DRDebug: Automated Design Rule DebuggingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.317472242:2(606-615)Online publication date: Feb-2023
  • (2017)Design space analysis of novel interconnect constructs for 22nm FDX technologyDesign-Process-Technology Co-optimization for Manufacturability XI10.1117/12.2258668(101480Z)Online publication date: 17-Mar-2017
  • (2016)An Evaluation Framework for Nanotransfer Printing-Based Feature-Level Heterogeneous Integration in VLSI CircuitsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.247728224:5(1858-1870)Online publication date: 1-May-2016
  • (2014)Design optimization of 16-nm bulk FinFET technology via geometric programming2014 International Workshop on Computational Electronics (IWCE)10.1109/IWCE.2014.6865878(1-4)Online publication date: Jun-2014
  • (2014)7nm FinFET standard cell layout characterization and power density prediction in near- and super-threshold voltage regimesInternational Green Computing Conference10.1109/IGCC.2014.7039170(1-7)Online publication date: Nov-2014
  • (2012)A methodology for the early exploration of design rules for multiple-patterning technologiesProceedings of the International Conference on Computer-Aided Design10.1145/2429384.2429395(50-56)Online publication date: 5-Nov-2012
  • (2012)DREIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2012.219247731:9(1379-1392)Online publication date: 1-Sep-2012
  • (2011)Exploration of VLSI CAD researches for early design rule evaluationProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950901(405-406)Online publication date: 25-Jan-2011
  • (2011)Regular fabric for regular FPGA (abstract only)Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays10.1145/1950413.1950484(284-284)Online publication date: 27-Feb-2011
  • (2011)Single-Mask Double-Patterning Lithography for Reduced Cost and Improved Overlay ControlIEEE Transactions on Semiconductor Manufacturing10.1109/TSM.2010.207630524:1(93-103)Online publication date: Feb-2011
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media