Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/285930.285999acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article
Free access

Monsoon: an explicit token-store architecture

Published: 01 August 1998 Publication History
First page of PDF

References

[1]
Arvind and D. E. Culler. Managing Resources in a Parallel Machine. In Proc. of lFIP TC-IO Working Conference on Fifth Generation Computer Architecture, Manchester, England. North-Holland Publishing Company, July 1985.
[2]
Arvind and D. E. Culler. Dataflow Architectures. In Annual Review8 in Computer Science, volume 1, pages 225-253. Annual Reviews Inc., Palo Alto, CA, 1986. Reprinted in Dataflow and Reduction Architectures, S. S. Thakkar, editor, IEEE Computer Society Press, 1987.
[3]
Arvind, D. E. Culler, and K. Ekanadham. The Price of Asynchronous Parallelism: an Analysis of Dataflow Architectures. In Proc. of CONPAR 88, Univ. of Manchester, September 1988. British Computer Society -- Parallel Processing Specialists. (also CSG Memo No. 278, MIT Lab for Computer Science).
[4]
Arvind, D. E. Culler, IL A. lannucci, V. Kathail, K. Pingali, and IL E. Thomas. The Tagged Token Dataflow Architecture. Technical Report FLA memo, MIT Lab for Computer Science, 545 Tech. Sq, Cambridge, MA, August 1983. Revised October, 1984.
[5]
Arvind, D. E. Culler, and G. K. Maa. Assessing the Benefits of Fine-Grain Parallelism in Dataflow Programs. The lnf'l Journal of SupercomputerAp. plications, 2(3), November 1988.
[6]
Arvind, M. L. Dertouzos, IL S. Nikhil, and G. M. Papadopoulos. PROJECT DATAFLOW, a Parallel Computing System Based on the Monsoon Architecture and the Id Programming Language. Technical Report CSG Memo 285, MIT Lab for Computer Science, 545 Tech. Sq, Cambridge, MA, 1988.
[7]
Arvind and K. Ekanadham. Future Scientific Protramming on Parallel Machines. The Journal of Parallel and Distributed Computing, 5(5):460-493, October 1988.
[8]
Arvind, S. K. Heller, and R. S. Nikhil. Programming Generality and Parallel Computers. In Proc. of the Fourth Int'l 5~mp. on Biological and Arli. fieial Inielligence Systems, pages 255-286, Trento, Italy, September 1988. ESCOM (Leider).
[9]
Arvind and R. A. Iannucci. Two Fundamental Issues in Multiprocessing. In Proc. of DFVLR - Conference 1987 on Parallel Processing in Science and Engineering, Bonn.Bad Godesberg, IV. Get. many, 2 une 1987.
[10]
R. G. Babb II, editor. Programming Parallel Processors. Addison-Wesley Pub. Co., Reading, Mass., 1988.
[11]
L. Bic. A Process-Oriented Model for Efficient Execution of Dataflow Programs. In Proc. of the 7lh lnt'l Conference on Distributed Compu~ing, Berlin, West Germany, September 1987.
[12]
G. Chaitin, M. Auslander, A. Chandra, 2. Cocke, M. Hopkins, and P. Markstein. Register Allocation via Coloring. Computer Languages, 6:47-57, 1981.
[13]
D. E. Culler. Managing Parallelism and Resources in Scientific Datafloto Programs. PhD thesis, MIT Dept. of Electrical Engineering and Computer Science, Cambridge, MA, June 1989. To appear as MIT Lab for Computer Science TR446.
[14]
Darlington and M. Reeve. ALICE - A Multi- Processor Reduction Machine for Parallel Evaluation of Applicative Languages. in Proc. of the 1981 Conference on Functional Programming and Computer Architecture, pages 65-76, 1981.
[15]
J. B. Dennis. Data Flow Supercomputers. IEEE Computer, pages 48-56, November 1980.
[16]
:I. B. Dennis and D. P. Misunas. A Preliminary Architecture for a Basic Dataflow Processor. In Proc. of the 2nd Annual Syrup. on Computer Architecture, page 126. IEEE, January 1975.
[17]
J. B. Dennis, J. E. Stoy, and B. Guharoy. VIM: An Experimental Multi-User System Supporting Functional Programming. In Proc. of the 1984 Int'l Workshop on High-Level Computer Architecture, pages 1.1-1.9, Los Angeles, CA, May 1984.
[18]
D.D. Gajski, D.A. Padua, David J. Kuck, and R.H. Kuhn. A Second Opinion of Data Flow Machines and Languages. IEEE Computer, 15(2):58- 69, February 1982.
[19]
V. G. Grafe, :I. E. Hoch, and Davidson G.S. Eps'88" Combining the Best Features of yon Neumann and Dataflow Computing. Technical Report SAND88-3128, Sandia National Laboratories, J anuary 1989.
[20]
J. Gurd, C.C. Kirkham, and I. Watson. The Manchester Prototype Dataflow Computer. Communications of the Association for Computing Ms. chinerg, 28(1):34-52, January 1985.
[21]
J. L. Gustafson, G. R. Montry, and R. E. Bennet. Development of Parallel Methods for a 1024- Processor Hypercube. SIAM Journal on Scientific and Statistical Computing, 9(4), July 1988.
[22]
S. K. HeLler. Efficient lazy data-structures on a dataflow machine. Technical Report LCS/MIT/TR-438, MIT Lab for Computer Science, 545 Tech. Sq, Cambridge, MA, 1988. (PhD Thesis, Dept. of EECS, MIT).
[23]
R. A. Iannucci. A Dataflow/von Neumann Hybrid Architecture. Technical Report TR-418, MIT Lab for Computer Science, 545 Tech. Sq, Cambridge, MA, May 1988. (PhD Thesis, Dept. of EECS, MIT).
[24]
It. M. Keller and F. C. Lin. Simulated Performance of a Reduction-Based Multiprocessor. IEEE Computer, pages 70-82, July 1984.
[25]
R. M. Keller, G. Lindstrom, and S. Patil. A Loosely-Coupled Applicative Multi-Processing System. In Proe. of the National Computer Conference, volume 48, pages 613-622, New York, NY, June 1979.
[26]
tL S. Nikhil and Arvind. Can Dataflow Subsume von Neumann Computing? In Proc. of the 16th Annual Int'l Symp. on Computer Architecture, Jerusalem, israel, May 1989. To appear.
[27]
G. M. Papadopoulos. Implementation of a General Purpose Dataflow Multiprocessor. Technical Report TR432, MIT Lab for Computer Science, 545 Teeh. Sq, Cambridge, MA, September 1988. (PhD Thesis, Dept. of EECS, MIT).
[28]
J. Rumbaugh. A Data Flow Multiprocessor. IEEE Transactions on Computers, C-26(2):138- 146, February 1977.
[29]
T. Shimada, K. Hiraki, and K. Nishida. An Architecture of a Data Flow Machine and its Evaluation. In Proc. of CompCon 84, pages 486--490. IEEE, 1984.
[30]
K. R. Traub. A Compiler for the MIT Tagged- Token Dataflow Architecture. Technical Report TR-370, MIT Lab for Computer Science, 545 Teeh. Sq, Cambridge, MA, August 1986. (MS Thesis, Dept. of EECS, MIT).
[31]
W. Weber and A. Gupta. Exploring the Benefits of Multiple Hardware Contexts in a Multiprocessor Architecture: Preliminary Results. In Proc. of the 1989 Int'l Syrup. on Computer Architecture, pages 273-280, Jerusalem, Israel, May 1989.
[32]
K. Weng. An Atistract Implementation for a Generalized Data Flow Language. Technical Report MIT/LCS/TR-228, MIT Lab for Computer Science, 545 Tech. Sq, Cambridge, MA, 1979. (PhD Thesis, Dept. of EECS, MIT).

Cited By

View all
  • (2022)Accelerating Data Transfer in Dataflow Architectures Through a Look-Ahead Acknowledgment MechanismJournal of Computer Science and Technology10.1007/s11390-020-0555-637:4(942-959)Online publication date: 30-Jul-2022
  • (2009)Loop-Aware Instruction Scheduling with Dynamic Contention Tracking for Tiled Dataflow ArchitecturesProceedings of the 18th International Conference on Compiler Construction: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 200910.1007/978-3-642-00722-4_14(190-203)Online publication date: 27-Mar-2009
  • (2008)Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow ArchitecturesProceedings of the 4th International Conference on High Performance Embedded Architectures and Compilers10.1007/978-3-540-92990-1_24(324-338)Online publication date: 24-Dec-2008

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ISCA '98: 25 years of the international symposia on Computer architecture (selected papers)
August 1998
546 pages
ISBN:1581130589
DOI:10.1145/285930
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 August 1998

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

ISCA98
Sponsor:
ISCA98: International Symposium on Computer Architecture
June 27 - July 2, 1998
Barcelona, Spain

Acceptance Rates

Overall Acceptance Rate 543 of 3,203 submissions, 17%

Upcoming Conference

ISCA '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)24
  • Downloads (Last 6 weeks)4
Reflects downloads up to 21 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2022)Accelerating Data Transfer in Dataflow Architectures Through a Look-Ahead Acknowledgment MechanismJournal of Computer Science and Technology10.1007/s11390-020-0555-637:4(942-959)Online publication date: 30-Jul-2022
  • (2009)Loop-Aware Instruction Scheduling with Dynamic Contention Tracking for Tiled Dataflow ArchitecturesProceedings of the 18th International Conference on Compiler Construction: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 200910.1007/978-3-642-00722-4_14(190-203)Online publication date: 27-Mar-2009
  • (2008)Compiler Controlled Speculation for Power Aware ILP Extraction in Dataflow ArchitecturesProceedings of the 4th International Conference on High Performance Embedded Architectures and Compilers10.1007/978-3-540-92990-1_24(324-338)Online publication date: 24-Dec-2008

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media