Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Non-Volatile Processor Based on MRAM for Ultra-Low-Power IoT Devices

Published: 01 December 2016 Publication History

Abstract

Over the past few years, a new era of smart connected devices has emerged in the market to enable the future world of the Internet of Things (IoT). A key requirement for IoT applications is the power consumption to allow very high autonomy in the case of battery-powered systems. Depending on the application, such devices will be most of the time in a low-power mode (sleep mode) and will wake up only when there is a task to accomplish (active mode). Emerging non-volatile memory technologies are seen as a very attractive solution to design ultra-low-power systems. Among these technologies, magnetic random access memory is a promising candidate, as it combines non-volatility, high density, reasonable latency, and low leakage. Integration of non-volatility as a new feature of memories has the great potential to allow full data retention after a complete shutdown with a fast wake-up time. This article explores the benefits of having a non-volatile processor to enable ultra-low-power IoT devices.

References

[1]
Ali Ahari, Hossein Asadi, Behnam Khaleghi, and Mehdi B. Tahoori. 2014. A power-efficient reconfigurable architecture using PCM configuration technology. In Conference on Design, Automation 8 Test in Europe. European Design and Automation Association, 336.
[2]
K. Ando, S. Fujita, J. Ito, S. Yuasa, Y. Suzuki, Y. Nakatani, T. Miyazaki, and H. Yoda. 2014. Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing. J. Appl. Phys. 115, 17 (2014), 172607.
[3]
Thomas W. Andre, Joseph J. Nahas, Chitra K. Subramanian, Bradley J. Garni, Halbert S. Lin, Asim Omair, and William L. Martino Jr. 2005. A 4-Mb 0.18-μm 1T1MTJ toggle MRAM with balanced three input sensing scheme and locally mirrored unidirectional write drivers. IEEE J. Solid-State Circ. 40, 1 (2005), 301--309.
[4]
Jacob Borgeson, Stephan Shauer, and Horst Diewald. 2012. Benchmarking MCU power consumption for ultra-low-power applications. White Paper (2012).
[5]
Djaafar Chabi, Weisheng Zhao, Erya Deng, Yue Zhang, Nesrine Ben Romdhane, Jacques-Olivier Klein, and Claude Chappert. 2014. Ultra low power magnetic flip-flop based on checkpointing/power gating and self-enable mechanisms. IEEE Trans. Circ. Syst. I: Regul. Pap. 61, 6 (2014), 1755--1765.
[6]
Jun-Myung Choi, Chul-Moon Jung, and Kyeong-Sik Min. 2013. PCRAM flip-flop circuits with sequential sleep-in control scheme and selective write latch. J. Semicond. Technol. Sci. 13, 1 (2013), 58--64.
[7]
L. Crippa, R. Micheloni, I. Motta, and M. Sangalli. 2008. Nonvolatile memories: NOR vs. NAND architectures. In Memories in Wireless Systems. Springer, 29--53.
[8]
Crocus. 2016. Homepage. Retrieved from http://www.crocus-technology.com/.
[9]
Jayita Das, Syed M. Alam, and Sanjukta Bhanja. 2014. STT-based non-volatile logic-in-memory framework. In Field-Coupled Nanocomputing. Springer, 173--193.
[10]
Cagdas Dirik. 2009. Performance analysis of NAND flash memory solid-state disks.
[11]
B. N. Engel, Johan Åkerman, B. Butcher, R. W. Dave, M. DeHerrera, M. Durlam, G. Grynkewich, J. Janesky, S. V. Pietambaram, N. D. Rizzo, and others. 2005. A 4-Mb toggle MRAM based on a novel bit and switching method. IEEE Trans. Magnet. 41, 1 (2005), 132--136.
[12]
Pietro Gambardella and Ioan Mihai Miron. 2011. Current-induced spin--orbit torques. Philos. Trans. Roy. Soc. Lond. A: Math. Phys. Eng. Sci. 369, 1948 (2011), 3175--3197.
[13]
Yoann Guillemenet, Lionel Torres, and Gilles Sassatelli. 2010. Non-volatile run-time field-programmable gate arrays structures using thermally assisted switching magnetic random access memories. IET Comput. Dig. Tech. 4, 3 (2010), 211--226.
[14]
Matthew R. Guthaus, Jeffrey S. Ringenberg, Dan Ernst, Todd M. Austin, Trevor Mudge, and Richard B. Brown. 2001. MiBench: A free, commercially representative embedded benchmark suite. In 2001 IEEE International Workshop on Workload Characterization (WWC-4). IEEE, 3--14.
[15]
C. Holland. 2010. First MRAM-based FPGA taped-out. Retrieved from http://www.eetimes.com/General/DisplayPrintViewContent? contentItemId 4200035.
[16]
Y. Huai, J. Zhang, Y. Zhou, X. Wang, E. Abedifard, Z. Wang, X. Hao, D. Jung, K. Satoh, H. Gan, and others. 2015. PMTJ driven STT MRAM with 300mm process. In 2015 IEEE Magnetics Conference (INTERMAG). IEEE, 1--1.
[17]
Kenshin Ikegami, Hiroki Noguchi, Chikayoshi Kamata, M. Amano, Kiyohiko Abe, K. Kushida, Eiji Kitagawa, Toshihiko Ochiai, Naoharu Shimomura, A. Kawasumi, and others. 2014. A 4ns, 0.9 V write voltage embedded perpendicular STT-MRAM fabricated by MTJ-Last process. In Proceedings of Technical Program-2014 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA). IEEE, 1--2.
[18]
ITRS. 2013. International technology roadmap for semiconductors. Retrieved from http://www.itrs.net/.
[19]
Kotb Jabeur, Gregory Di Pendina, Fabrice Bernard-Granger, and Guillaume Prenat. 2014. Spin orbit torque non-volatile flip-flop for high speed and low energy applications. IEEE Electr. Device Lett. 35, 3 (2014), 408--410.
[20]
Guenole Jan, Luc Thomas, Son Le, Yuan-Jen Lee, Huanlong Liu, Jian Zhu, Ru-Ying Tong, Keyu Pi, Yu-Jen Wang, Dongna Shen, and others. 2014. Demonstration of fully functional 8Mb perpendicular STT-MRAM chips with sub-5ns writing for non-volatile embedded memories. In Digest of Technical Papers, 2014 Symposium on VLSI Technology (VLSI-Technology). IEEE, 1--2.
[21]
Bojan Jovanovic, Raphael M. Brum, and Lionel Torres. 2015. Comparative analysis of MTJ/CMOS hybrid cells based on TAS and in-plane STT magnetic tunnel junctions. IEEE Trans. Magnet. 51, 2 (2015), 1--11.
[22]
N. Jovanović, O. Thomas, E. Vianello, J. M. Portal, B. Nikolić, and L. Naviner. 2014. OxRAM-based non volatile flip-flop in 28nm FDSOI.
[23]
Stamatis Karnouskos, Pedro José Marrón, Giancarlo Fortino, Luca Mottola, and José Ramiro Martínez-de Dios. 2014. Applications and Markets for Cooperating Objects. Springer.
[24]
Saarthak Khanna, Steven Bartling, Michael Clinton, Scott Summerfelt, Jose Rodriguez, and Hugh McAdams. 2013. Zero leakage microcontroller with 384ns wakeup time using FRAM mini-array architecture. In 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC). IEEE, 21--24.
[25]
Saarthak Khanna, Steven C. Bartling, Michael Clinton, Scott Summerfelt, John A. Rodriguez, and Hugh P. McAdams. 2014. An FRAM-based nonvolatile logic MCU SoC exhibiting 100% digital state retention at 0 V achieving zero leakage with 400-ns wakeup time for ULP applications. IEEE J. Solid-State Circ. 49, 1 (2014), 95--106.
[26]
A. V. Khvalkovskiy, D. Apalkov, S. Watts, R. Chepulskii, R. S. Beach, A. Ong, X. Tang, A. Driskill-Smith, W. H. Butler, P. B. Visscher, and others. 2013. Basic principles of STT-MRAM cell operation in memory arrays. J. Phys. D: Appl. Phys. 46, 7 (2013), 74001--74020.
[27]
Hiroki Koike, Sadahiko Miura, Hiroaki Honjo, Toshinari Watanabe, Hideo Sato, Soshi Sato, Takashi Nasuno, Yasuo Honjo, Naguchi, and others. 2016. Demonstration of yield improvement for on-via MTJ using a 2-Mbit 1T-1MTJ STT-MRAM test chip. In 2016 IEEE 8th International Memory Workshop (IMW). IEEE, 1--4.
[28]
Hideaki Koike, Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh, Noboru Sakimura, Ryusuke Nebashi, Yukihide Tsuji, Ayuka Morioka, and others. 2013. A power-gated MPU with 3-microsecond entry/exit delay using MTJ-based nonvolatile flip-flop. In 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC). IEEE, 317--320.
[29]
K. Lewotsky. 2013. Tech trends: Details on everspins ST-MRAM. Retrieved from http://www.eetimes.com/document.asp?doc_id=1280267
[30]
Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, and Vijaykrishnan Narayanan. 2015. Architecture exploration for ambient energy harvesting nonvolatile processors. In 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA). IEEE, 526--537.
[31]
Jagan Singh Meena, Simon Min Sze, Umesh Chand, and Tseung-Yuen Tseng. 2014. Overview of emerging nonvolatile memory technologies. Nanoscale Res. Lett. 9, 1 (2014), 1--33.
[32]
Rino Micheloni, Luca Crippa, and Alessia Marelli. 2010. Inside NAND Flash Memories. Springer Science 8 Business Media.
[33]
Jagadeesh Subbaiah Moodera, Lisa R. Kinder, Terrilyn M. Wong, and R. Meservey. 1995. Large magnetoresistance at room temperature in ferromagnetic thin film tunnel junctions. Phys. Rev. Lett. 74, 16 (1995), 3273.
[34]
Taehui Na, Kyungho Ryu, Jisu Kim, Seung-Hyuk Kang, and Seong-Ook Jung. 2013. A comparative study of STT-MTJ based non-volatile flip-flops. In 2013 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, 109--112.
[35]
Hiroki Noguchi, Kazutaka Ikegami, Keiichi Kushida, Keiko Abe, Shogo Itai, Satoshi Takaya, Naoharu Shimomura, Junichi Ito, Atsushi Kawasumi, Hiroyuki Hara, and others. 2015. 7.5 a 3.3 ns-access-time 71.2μW/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture. In 2015 IEEE International Solid-State Circuits Conference-(ISSCC). IEEE, 1--3.
[36]
Hiroki Noguchi, Keiichi Kushida, Kenshin Ikegami, Kiyohiko Abe, Eiji Kitagawa, Shintaro Kashiwada, Chikayoshi Kamata, Atsushi Kawasumi, Hideki Hara, and Shinobu Fujita. 2013. A 250-MHz 256b-I/O 1-Mb STT-MRAM with advanced perpendicular MTJ based dual cell for nonvolatile magnetic caches to reduce active power of processors. In 2013 Symposium on VLSI Technology (VLSIT). IEEE, C108--C109.
[37]
Janusz J. Nowak, Ray P. Robertazzi, Jonathan Z. Sun, Guohan Hu, Jeong-Heon Park, JungHyuk Lee, Anthony J. Annunziata, Gen P. Lauer, Raman Kothandaraman, Eugene J. OSullivan, and others. 2016. Dependence of voltage and size on write error rates in spin-transfer torque magnetic random-access memory. IEEE Magnet. Lett. 7 (2016).
[38]
D. Pala, G. Causapruno, M. Vacca, F. Riente, G. Turvani, M. Graziano, and M. Zamboni. 2015. Logic-in-memory architecture made real. In 2015 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, 1542--1545.
[39]
Somnath Paul, Saibal Mukhopadhyay, and Swarup Bhunia. 2011. A circuit and architecture codesign approach for a hybrid CMOS--STTRAM nonvolatile FPGA. IEEE Trans. Nanotechnol. 10, 3 (2011), 385--394.
[40]
I. L. Prejbeanu, S. Bandiera, J. Alvarez-Hérault, R. C. Sousa, B. Dieny, and J. P. Nozieres. 2013. Thermally assisted MRAMs: Ultimate scalability and logic functionalities. J. Phys. D: Appl. Phys. 46, 7 (2013), 074002.
[41]
I. L. Prejbeanu, M. Kerekes, R. C. Sousa, H. Sibuet, O. Redon, B. Dieny, and J. P. Nozieres. 2007. Thermally assisted MRAM. J. Phys.: Condens. Matter 19, 16 (2007), 165218.
[42]
Noboru Sakimura, Yukihide Tsuji, Ryusuke Nebashi, Hiroaki Honjo, Ayuka Morioka, Koichi Ishihara, Keizo Kinoshita, Shunsuke Fukami, Shun Miura, Naoki Kasai, and others. 2014. 10.5 A 90nm 20MHz fully nonvolatile microcontroller for standby-power-critical applications. In 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). IEEE, 184--185.
[43]
Conor Santifort. 2010. Amber ARM-compatible core. Retrieved from OpenCores.org.
[44]
STM32L1. 2016. MCU. Datasheet. (MCU). Retrieved from http://www.st.com/web/en/resource/technical/document/datasheet/CD00277537.pdf.
[45]
Ogun Turkyilmaz, Santhosh Onkaraiah, Marina Reyboz, Fabien Clermidy, Costin Anghel, Jean-Michel Portal, Marc Bocquet, and others. 2014. RRAM-based FPGA for normally off, instantly on applications. J. Parallel Distrib. Comput. 74, 6 (2014), 2441--2451.
[46]
I. Wali, Arnaud Virazel, A. Bosio, P. Girard, S. Pravossoudovitch, and M. Sonza Reorda. 2016. A hybrid fault-tolerant architecture for highly reliable processing cores. J. Electron. Test. (2016), 1--15.
[47]
Jue Wang, Yongpan Liu, Huazhong Yang, and Hui Wang. 2010. A compare-and-write ferroelectric nonvolatile flip-flop for energy-harvesting applications. In 2010 International Conference on Green Circuits and Systems (ICGCS). IEEE, 646--650.
[48]
Yiqun Wang, Yongpan Liu, Shuangchen Li, Daming Zhang, Bo Zhao, Mei-Fang Chiang, Yanxin Yan, Baiko Sai, and Huazhong Yang. 2012. A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops. In 2012 Proceedings of the ESSCIRC (ESSCIRC). IEEE, 149--152.
[49]
Reinhold P. Weicker. 1984. Dhrystone: A synthetic systems programming benchmark. Commun. ACM 27, 10 (1984), 1013--1030.
[50]
Mimi Xie, Mengying Zhao, Chen Pan, Jingtong Hu, Yongpan Liu, and Chun Jason Xue. 2015. Fixing the broken time machine: Consistency-aware checkpointing for energy harvesting powered non-volatile processor. In Proceedings of the 52nd Annual Design Automation Conference. ACM, 184.
[51]
Jing Yu, María Jesús Garzarán, and Marc Snir. 2008. Efficient software checking for fault tolerance. In IEEE International Symposium on Parallel and Distributed Processing, 2008. IPDPS 2008. IEEE, 1--5.
[52]
Ye Zhang, E. Y. Deng, J. O. Klein, Damien Querlioz, Dafine Ravelosona, Claude Chappert, Weisheng S. Zhao, M. Moreau, J. M. Portal, Michael Bocquet, and others. 2013. Synchronous full-adder based on complementary resistive switching memory cells. In 2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS). IEEE, 1--4.
[53]
Weisheng Zhao, Eric Belhaire, Claude Chappert, and Pascale Mazoyer. 2009. Spin transfer torque (STT)-MRAM--based runtime reconfiguration FPGA circuit. ACM Trans. Embedd. Comput. Syst. 9, 2 (2009), 14.
[54]
Weisheng Zhao, Mathieu Moreau, Erya Deng, Yue Zhang, J.-M. Portal, Jacques-Olivier Klein, Michael Bocquet, Hassen Aziza, Damien Deleruyelle, Candice Muller, and others. 2014. Synchronous non-volatile logic gate design based on resistive switching memories. IEEE Trans. Circ. Syst. I: Regul. Pap. 61, 2 (2014), 443--454.

Cited By

View all
  • (2024)Asymmetric-Resistive-Switching Device with Reconfigurable Synaptic Functions for Logic-In-MemoryACS Applied Engineering Materials10.1021/acsaenm.4c002932:7(1873-1881)Online publication date: 8-Jul-2024
  • (2023)Ferroelectric tunnel junctions: current status and future prospect as a universal memoryFrontiers in Materials10.3389/fmats.2023.114897910Online publication date: 28-Sep-2023
  • (2023)Non-Stop Microprocessor for Fault-Tolerant Real-Time SystemsIEICE Transactions on Electronics10.1587/transele.2022CDP0005E106.C:7(365-381)Online publication date: 1-Jul-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Journal on Emerging Technologies in Computing Systems
ACM Journal on Emerging Technologies in Computing Systems  Volume 13, Issue 2
Special Issue on Nanoelectronic Circuit and System Design Methods for the Mobile Computing Era and Regular Papers
April 2017
377 pages
ISSN:1550-4832
EISSN:1550-4840
DOI:10.1145/3014160
  • Editor:
  • Yuan Xie
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 01 December 2016
Accepted: 01 September 2016
Revised: 01 August 2016
Received: 01 October 2015
Published in JETC Volume 13, Issue 2

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. MRAM
  2. embedded systems
  3. internet of things
  4. non-volatility

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

  • French National Research Agency
  • GREAT (heteroGeneous integRated magnetic tEchnology using multifunctional standardized sTack (MSS))
  • European Union's Horizon 2020 research and innovation programme

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)53
  • Downloads (Last 6 weeks)4
Reflects downloads up to 22 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Asymmetric-Resistive-Switching Device with Reconfigurable Synaptic Functions for Logic-In-MemoryACS Applied Engineering Materials10.1021/acsaenm.4c002932:7(1873-1881)Online publication date: 8-Jul-2024
  • (2023)Ferroelectric tunnel junctions: current status and future prospect as a universal memoryFrontiers in Materials10.3389/fmats.2023.114897910Online publication date: 28-Sep-2023
  • (2023)Non-Stop Microprocessor for Fault-Tolerant Real-Time SystemsIEICE Transactions on Electronics10.1587/transele.2022CDP0005E106.C:7(365-381)Online publication date: 1-Jul-2023
  • (2023)Mapi-Pro: An Energy Efficient Memory Mapping Technique for Intermittent ComputingACM Transactions on Architecture and Code Optimization10.1145/362952420:4(1-25)Online publication date: 20-Oct-2023
  • (2023)Persistent Processor ArchitectureProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3623772(1075-1091)Online publication date: 28-Oct-2023
  • (2022) PROWL: A Cache Replacement P olicy fo r C o nsistency A w are Renewab l e Powered Devices IEEE Transactions on Emerging Topics in Computing10.1109/TETC.2020.303111410:1(476-487)Online publication date: 1-Jan-2022
  • (2022)Enabling Edge Computing Using Emerging Memory Technologies: From Device to ArchitectureFrontiers of Quality Electronic Design (QED)10.1007/978-3-031-16344-9_11(415-464)Online publication date: 6-Sep-2022
  • (2021) COACH: Co nsistency A ware Ch eck-Pointing for Nonvolatile Processor in Energy Harvesting Systems IEEE Transactions on Emerging Topics in Computing10.1109/TETC.2019.29610079:4(2076-2088)Online publication date: 1-Oct-2021
  • (2021)Freezer: A Specialized NVM Backup Controller for Intermittently Powered SystemsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.302506340:8(1559-1572)Online publication date: Aug-2021
  • (2021)CHANCE: Capacitor Charging Management Scheme in Energy Harvesting SystemsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.300329540:3(419-429)Online publication date: Mar-2021
  • Show More Cited By

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media