Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

GPlace3.0: Routability-Driven Analytic Placer for UltraScale FPGA Architectures

Published: 12 October 2018 Publication History

Abstract

Optimizing for routability during FPGA placement is becoming increasingly important, as failure to spread and resolve congestion hotspots throughout the chip, especially in the case of large designs, may result in placements that either cannot be routed or that require the router to work excessively hard to obtain success. In this article, we introduce a new, analytic routability-aware placement algorithm for Xilinx UltraScale FPGA architectures. The proposed algorithm, called GPlace3.0, seeks to optimize both wirelength and routability. Our work contains several unique features including a novel window-based procedure for satisfying legality constraints in lieu of packing, an accurate congestion estimation method based on modifications to the pathfinder global router, and a novel detailed placement algorithm that optimizes both wirelength and external pin count. Experimental results show that compared to the top three winners at the recent ISPD’16 FPGA placement contest, GPlace3.0 is able to achieve (on average) a 7.53%, 15.15%, and 33.50% reduction in routed wirelength, respectively, while requiring less overall runtime. As well, an additional 360 benchmarks were provided directly from Xilinx Inc. These benchmarks were used to compare GPlace3.0 to the most recently improved versions of the first- and second-place contest winners. Subsequent experimental results show that GPlace3.0 is able to outperform the improved placers in a variety of areas including number of best solutions found, fewest number of benchmarks that cannot be routed, runtime required to perform placement, and runtime required to perform routing.

References

[1]
Vaughn Betz and Jonathan Rose. 1997. VPR: A new packing, placement and routing tool for FPGA research. In Field-Programmable Logic and Applications. Springer, 213--222.
[2]
Huimin Bian, Andrew C. Ling, Alexander Choong, and Jianwen Zhu. 2010. Towards scalable placement for FPGAs. In Proceedings of the 18th Annual ACM/SIGDA International Symposium on Field Programmable Gate Arrays. 147--156.
[3]
Elaheh Bozorgzadeh, S. Ogrenci Memik, Xiaojian Yang, and Majid Sarrafzadeh. 2004. Routability-driven packing: Metrics and algorithms for cluster-based FPGAs. Journal of Circuits, Systems, and Computers 13, 1 (2004), 77--100.
[4]
S. Chen and Y. Chang. 2015. Routing-architecture-aware analytical placement for heterogeneous FPGAs. In Proceedings of the Design Automation Conference. ACM, 27.
[5]
Yu-Chen Chen, Sheng-Yen Chen, and Yao-Wen Chang. n.d. Efficient and effective packing and analytical placement for large-scale heterogeneous FPGAs. In Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design. 647--654.
[6]
GNL. n.d. Netlist-Generator Tool. Retrieved 1999 from http://users.elis.ugent.be/dstrooba/gnl/.
[7]
Padmini Gopalakrishnan, Xin Li, and Lawrence Pileggi. 2006. Architecture-aware FPGA placement using metric embedding. In Proceedings of the 43rd Annual Design Automation Conference. ACM, 460--465.
[8]
Marcel Gort and Jason Helge Anderson. 2012. Analytical placement for heterogeneous FPGAs. In Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL’12). IEEE, 143--150.
[9]
G. Grewal, S. Areibi, M. Westrik, Z. Abuowaimer, and B. Zhao. 2017. Automatic flow selection and quality-of-result estimation for FPGA placement. In Proceedings of the 24th Reconfigurable Architectures Workshop. Orlando, Florida, 115--123.
[10]
W. How, H. Yu, X. Hong, Y. Cai, W. Wu, J. Gu, and W. Kao. 2001. A new congestion-driven placement algorithm based on cell inflation. In Proceedings of the Design Automation Conference, Asia and South Pacific. IEEE, 605--608.
[11]
J. Hu, J. A Roy, and I. Markov. 2010. Completing high-quality global routes. In ISPD. ACM, 35--41.
[12]
W. Li, S. Dhar, and D. Pan. 2017. UTPlaceF: A routability-driven FPGA placer with physical and congestion aware packing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (2017).
[13]
Wuxi Li, Shounak Dhar, and David Z. Pan. 2016. UTPlaceF: A routability-driven FPGA placer with physical and congestion aware packing. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’16). 66:1--66:7.
[14]
Wen-Hao Liu, Wei-Chun Kao, Yih-Lang Li, and Kai-Yuan Chao. 2013. NCTU-GR 2.0: Multithreaded collision-aware global routing with bounded-length maze routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32, 5 (2013), 709--722.
[15]
Larry McMurchie and Carl Ebeling. n.d. PathFinder: A negotiation-based performance-driven router for FPGAs. In Proceedings of the 1995 ACM 3rd International Symposium on Field-Programmable Gate Arrays. 111--117.
[16]
M. Pan, Y. Xu, Y. Zhang, and C. Chu. 2012. FastRoute: An efficient and high-quality global router. VLSI Design (2012), 1--19.
[17]
R. Pattison, Z. Abuowaimer, S. Areibi, G. Grewal, and A. Vannelli. 2016. Invited paper: GPlace—A congestion-aware placement tool for UltraScale FPGAs. In Proceedings of the International Conference on Computer Aided Design. Austin, Texas, 1--7.
[18]
C. Pui, G. Chen, W. Chow, K. Lam, P. Tu, H. Zhang, E. Young, and B. Yu. 2016. RippleFPGA: A routability-driven placement for large-scale heterogeneous FPGAs. In Proceedings of the International Conference on Computer-Aided Design. 1--8.
[19]
Peter Spindler and Frank M. Johannes. 2007. Kraftwerk: A fast and robust quadratic placer using an exact linear net model. In Modern Circuit Placement. Springer, 59--93.
[20]
Russell Tessier and Heather Giza. 2000. Balancing logic utilization and area efficiency in FPGAs. In Proceedings of the International Workshop on Field Programmable Logic and Applications. Springer, 535--544.
[21]
Marvin Tom, David Leong, and Guy Lemieux. 2006. Un/DoPack: Re-clustering of large system-on-chip designs with interconnect variation for low-cost FPGAs. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 680--687.
[22]
D. Xie, J. Xu, and J. Lai. 2009. A new FPGA placement algorithm for heterogeneous resources. In Proceedings of the ASICON’09 Conference. 742--746.
[23]
Xilinx. n.d. ISPD 2016 Routability-Driven FPGA Placement Contest. Retrieved March 17, 2017 from http://www.ispd.cc/contests/16/ispd2016_contest.html.
[24]
Xilinx. {n. d.}. UltraScale Architecture Configurable Logic Block User Guide. http://www.xilinx.com/support/documentation/user_guides/ug574-ultrascale-clb.pdf.
[25]
M. Xu, G. Grewal, and S. Areibi. 2011. StarPlace: A new analytic method for FPGA placement. Integration, The VLSI Journal 44, 3 (June 2011), 192--204.
[26]
Yonghong Xu and Mohammed A. S. Khalid. 2005. QPF: Efficient quadratic placement for FPGAs. In Proceedings of the International Conference on Field Programmable Logic and Applications. IEEE, 555--558.
[27]
S. Yang, A. Gayasen, C. Mulpuri, S. Reddy, and R. Aggarwal. 2016. Routability-driven FPGA placement contest. In Proceedings of the International Symposium on Physical Design. ACM, 139--143.
[28]
D. Yeager, D. Chiu, and G. Lemieux. 2007. Congestion estimation and localization in FPGAs: A visual tool for interconnect prediction. In Proceedings of the International Workshop on System Level Interconnect Prediction. ACM, 33--40.

Cited By

View all
  • (2024)Data reduction and processing for photon science detectorsFrontiers in Physics10.3389/fphy.2024.128585412Online publication date: 5-Feb-2024
  • (2024)VIPER: A VTR Interface for Placement with Error ResilienceProceedings of the 14th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies10.1145/3665283.3665300(99-108)Online publication date: 19-Jun-2024
  • (2024)LEAPS: Topological-Layout-Adaptable Multi-Die FPGA Placement for Super Long Line MinimizationIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2023.334055471:3(1259-1272)Online publication date: Mar-2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 23, Issue 5
September 2018
310 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/3268934
  • Editor:
  • Naehyuck Chang
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 12 October 2018
Accepted: 01 June 2018
Revised: 01 April 2018
Received: 01 November 2017
Published in TODAES Volume 23, Issue 5

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Placement
  2. congestion
  3. field programmable gate array
  4. heterogeneous
  5. routing-aware
  6. ultrascale architecture

Qualifiers

  • Research-article
  • Research
  • Refereed

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)125
  • Downloads (Last 6 weeks)21
Reflects downloads up to 22 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Data reduction and processing for photon science detectorsFrontiers in Physics10.3389/fphy.2024.128585412Online publication date: 5-Feb-2024
  • (2024)VIPER: A VTR Interface for Placement with Error ResilienceProceedings of the 14th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies10.1145/3665283.3665300(99-108)Online publication date: 19-Jun-2024
  • (2024)LEAPS: Topological-Layout-Adaptable Multi-Die FPGA Placement for Super Long Line MinimizationIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2023.334055471:3(1259-1272)Online publication date: Mar-2024
  • (2024)Multielectrostatic FPGA Placement Considering SLICEL–SLICEM Heterogeneity, Clock Feasibility, and Timing OptimizationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.331310143:2(641-653)Online publication date: 1-Feb-2024
  • (2024)OpenPARF 3.0: Robust Multi-Electrostatics Based FPGA Macro Placement Considering Cascaded Macros Groups and Fence Regions2024 2nd International Symposium of Electronics Design Automation (ISEDA)10.1109/ISEDA62518.2024.10617535(374-379)Online publication date: 10-May-2024
  • (2024)A Data-Driven, Congestion-Aware and Open-Source Timing-Driven FPGA Placer Accelerated by GPUs2024 IEEE 32nd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)10.1109/FCCM60383.2024.00022(115-125)Online publication date: 5-May-2024
  • (2024)Robust image descriptor for machine learning based data reduction in serial crystallographyJournal of Applied Crystallography10.1107/S160057672400147X57:2(413-430)Online publication date: 26-Mar-2024
  • (2024)Physical ImplementationFPGA EDA10.1007/978-981-99-7755-0_10(165-206)Online publication date: 1-Feb-2024
  • (2023)Timing-Driven Simulated Annealing for FPGA Placement in Neural Network RealizationElectronics10.3390/electronics1217356212:17(3562)Online publication date: 23-Aug-2023
  • (2023)DREAMPlaceFPGA-PLProceedings of the 2023 International Symposium on Physical Design10.1145/3569052.3571881(175-184)Online publication date: 26-Mar-2023
  • Show More Cited By

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media