Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/503048.503052acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
Article

Circuit design of routing switches

Published: 24 February 2002 Publication History

Abstract

This paper examines circuit design of buffered routing switches in symmetrical, island-style FPGAs. The effects of switch size, tile length, level-restoring, and slow input slew rates are examined. Two new fanin-based switch designs are used to eliminate nearly all of the increase in delay that arises from fanout with a previous switch design. Alternating between buffers and pass transistors is shown to improve connection delay without fanout by 25%. To take advantage of this, we propose schemes to replace some buffers with pass transistors to simultaneously reduce area and delay. Routing a suite of MCNC benchmark circuits shows that 14% in area-delay, or 7% in delay can be saved using the new switch schemes. Alternatively, approximately 13% in area can be saved with no degradation to delay.

References

[1]
V. Betz, J. Rose, and A. Marquardt, Architecture and CAD for Deep-Submicron FPGAs. Boston: Kluwer Academic Publishers, 1999.
[2]
I. Dobbelaere, M. Horowitz, and A. El Gamal, "Regenerative feedback repeaters for programmable interconnections," IEEE Journal of Solid-State Circuits, vol. 30, no. 11, 1995.
[3]
P. Chow, S. Ong Seo, J. Rose, K. Chung, G. Paez-Monzon, and I. Rahardja, "The design of an SRAM-based field-programmable gate array - part II: Circuit design and layout," IEEE Transactions on VLSI Systems, vol. 7, pp. 321-330, September 1999.
[4]
M. Khellah, S. Brown, and Z. Vranesic, "Modelling routing delays in SRAM-based FPGAs," in Canadian Conference on VLSI, pp. 6B.13-18, November 1993.
[5]
E. S. Ochotta, P. J. Crotty, C. R. Erickson, C.-T. Huang, R. Jayaraman, R. C. Li, J. D. Linoff, L. Ngo, H. V. Nguyen, K. M. Pierce, D. P. Wieland, J. Zhuang, and S. S. Nance, "A novel predictable segmented FPGA routing architecture," in ACM/SIGDA Int. Symp. on FPGAs, (Monterey, CA), pp. 3-11, February 1998.
[6]
S. Trimberger, K. Duong, and B. Conn, "Architecture issues and solutions for a high-capacity FPGA," in ACM/SIGDA Int. Symp. on FPGAs, (Monterey, CA), pp. 3-9, February 1997.
[7]
Xilinx, San Jose, CA, Online Data Books, 2001.
[8]
M. Sheng and J. Rose, "Mixing buffers and pass transistors in FPGA routing architectures," in ACM/SIGDA Int. Symp. on FPGAs, pp. 75-84, February 2001.
[9]
V. Betz and J. Rose, "VPR: A new packing, placement and routing tool for FPGA research," in Field-Programmable Logic, pp. 213-222, 1997.
[10]
G. Lemieux and D. Lewis, "Using sparse crossbars within LUT clusters," in ACM/SIGDA Int. Symp. on FPGAs, (Monterey, CA), pp. 59-68, February 2001.
[11]
V. Betz and J. Rose, "Circuit design, transistor sizing, and wire layout of FPGA interconnect," in Custom Integraged Circuits Conference, pp. 171-174, May 1999.
[12]
E. Ahmed and J. Rose, "The effect of LUT and cluster size on deep-submicron FPGA performance and density," in ACM/SIGDA Int. Symp. on FPGAs, pp. 3-12, 2000.
[13]
J. Rabaey, Digital Integrated Circuits: A Design Perspective. Upper Saddle River, NJ: Prentice Hall, 1996.
[14]
K. Martin, Digital Integrated Circuit Design. New York, NY: Oxford University Press, 2000.
[15]
A. Marquardt, V. Betz, and J. Rose, "Speed and area tradeoffs in cluster-based FPGA architectures," IEEE Transactions on VLSI Systems, pp. 84-93, February 2000.

Cited By

View all
  • (2024)Evaluating the Impact of Using Multiple-Metal Layers on the Layout Area of Switch Blocks for Tile-Based FPGAs in FinFET 7nmACM Transactions on Reconfigurable Technology and Systems10.1145/363905517:1(1-29)Online publication date: 12-Feb-2024
  • (2022)Evaluating the impact of using multiple-metal layers on the layout area of switch blocks for tile-based FPGAs in FinFET 7nm2022 IEEE 30th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)10.1109/FCCM53951.2022.9786176(1-9)Online publication date: 15-May-2022
  • (2022)Development and Analysis of Novel Mesh of Tree-based embedded FPGAThe Journal of Supercomputing10.1007/s11227-022-04569-278:16(17689-17720)Online publication date: 1-Nov-2022
  • Show More Cited By
  1. Circuit design of routing switches

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    FPGA '02: Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
    February 2002
    257 pages
    ISBN:1581134525
    DOI:10.1145/503048
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 24 February 2002

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    FPGA02
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 125 of 627 submissions, 20%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)19
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 22 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Evaluating the Impact of Using Multiple-Metal Layers on the Layout Area of Switch Blocks for Tile-Based FPGAs in FinFET 7nmACM Transactions on Reconfigurable Technology and Systems10.1145/363905517:1(1-29)Online publication date: 12-Feb-2024
    • (2022)Evaluating the impact of using multiple-metal layers on the layout area of switch blocks for tile-based FPGAs in FinFET 7nm2022 IEEE 30th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM)10.1109/FCCM53951.2022.9786176(1-9)Online publication date: 15-May-2022
    • (2022)Development and Analysis of Novel Mesh of Tree-based embedded FPGAThe Journal of Supercomputing10.1007/s11227-022-04569-278:16(17689-17720)Online publication date: 1-Nov-2022
    • (2018)Power and Area Efficient FPGA Building Blocks Based on Ferroelectric FETsIEEE Transactions on Circuits and Systems I: Regular Papers10.1109/TCSI.2018.2874880(1-14)Online publication date: 2018
    • (2016)Stochastic-Based Spin-Programmable Gate Array with Emerging MTJ Device TechnologyJournal of Low Power Electronics and Applications10.3390/jlpea60300156:3(15)Online publication date: 12-Aug-2016
    • (2016)The Stratix™ 10 Highly Pipelined FPGA ArchitectureProceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays10.1145/2847263.2847267(159-168)Online publication date: 21-Feb-2016
    • (2016)Security improvement of FPGA configuration file against the reverse engineering attack2016 13th International Iranian Society of Cryptology Conference on Information Security and Cryptology (ISCISC)10.1109/ISCISC.2016.7736459(101-105)Online publication date: Sep-2016
    • (2015)Soft-Core Embedded-FPGA Based on Multistage Switching Networks: A Quantitative AnalysisIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2014.238474023:12(3043-3052)Online publication date: Dec-2015
    • (2014)FPGA-RPIIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2013.225951222:4(864-877)Online publication date: 1-Apr-2014
    • (2013)Design-space exploration of an eFPGA soft-core based on Multi-Stages Switching NetworksProceedings of the 2013 9th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME)10.1109/PRIME.2013.6603104(133-136)Online publication date: Jun-2013
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media