TP 1 VHDL
TP 1 VHDL
TP 1 VHDL
Objective:
Programmer un FPGA qui fonctionne comme un compteur BCD 0 a 9 en VHDL à l’aide du XILLIN X ISE
et l’inplementer dans une carte fpga spartan
Le programme :
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Design Name:
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_unsigned.all;
--library UNISIM;
--use UNISIM.VComponents.all;
entity ghjk is
end ghjk;
begin
process(clk)
begin
q_bus_int<=q_bus_int + 1;
end if;
end process ;
q<=q_bus_int;
end Behavioral;
Remarque :
. la mise a zero des sorties du compteur passe par l’instuction : std_logic_vector(3 downto
0):="0000";
En peut remplacer cette instruction par CMP <= “ 0000“car elle correspond a mettre tous les bits du
bus a 0 quel que soit le nombre de bits du bus
le signal de sortie :
Commentaire :
Le comportement d’un compteur 4 bits et que sur chaque front mentent quand en incrémente le
mentent quand il arrive à 9 il repasse a 0 directement
Conclusion :
. le déclenchent du procès se fera sur un changement d’état du signal CLOCK . l’incrémentation de la
sortie Q se fera sur le Frant montant de l’horloge CLOCK .
. un signal peut prendre comme valeur les états ‘1’ ou ‘0’ et un bus n’importe quelle valeur , du
moment qu’elle est écrite entre deux guillemets “0000 “