Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
×
Aug 16, 2023 · Winograd convolution can reduce the fault-tolerant design overhead by 55.77\% on average without any accuracy loss compared to standard convolution.
Aug 16, 2023 · According to our experiments, winograd convolution can reduce the fault- tolerant design overhead by 55.77% on average without any accuracy loss ...
Sep 1, 2023 · In this work, we observe the great potential of winograd convolution (WG-Conv) in improving neural network (NN) fault tolerance.
Jan 31, 2024 · According to our experiments, winograd convolution can reduce the fault-tolerant design overhead by 55.77\% on average without any accuracy loss ...
Aug 23, 2022 · According to our experiments, winograd convolution can be utilized to reduce fault-tolerant design overhead by 27.49% or energy consumption by ...
Exploring Winograd convolution for cost-effective neural network fault tolerance. Xue, X., Liu, C., Liu, B., Huang, H., Wang, Y., Luo, T., Zhang, L., Li, H., & ...
According to these experiments, winograd convolution can be utilized to reduce fault-tolerant design overhead or energy consumption without any accuracy ...
In this work, we observe the great potential of winograd convolution (WG-Conv) in improving neural network (NN) fault tolerance. Based on the observation, we ...
According to our experiments, winograd convolution can reduce the fault-tolerant design overhead by 55.77\% on average without any accuracy loss compared to ...
Winograd convolution is originally proposed to reduce the computing overhead by converting multiplication in neural network (NN) with addition via linear ...