Aladdin: A pre-rtl, power-performance accelerator simulator enabling large design space exploration of customized architectures

YS Shao, B Reagen, GY Wei, D Brooks - ACM SIGARCH Computer …, 2014 - dl.acm.org
ACM SIGARCH Computer Architecture News, 2014dl.acm.org
Hardware specialization, in the form of accelerators that provide custom datapath and
control for specific algorithms and applications, promises impressive performance and
energy advantages compared to traditional architectures. Current research in accelerator
analysis relies on RTL-based synthesis flows to produce accurate timing, power, and area
estimates. Such techniques not only require significant effort and expertise but are also slow
and tedious to use, making large design space exploration infeasible. To overcome this …
Hardware specialization, in the form of accelerators that provide custom datapath and control for specific algorithms and applications, promises impressive performance and energy advantages compared to traditional architectures. Current research in accelerator analysis relies on RTL-based synthesis flows to produce accurate timing, power, and area estimates. Such techniques not only require significant effort and expertise but are also slow and tedious to use, making large design space exploration infeasible. To overcome this problem, we present Aladdin, a pre-RTL, power-performance accelerator modeling framework and demonstrate its application to system-on-chip (SoC) simulation. Aladdin estimates performance, power, and area of accelerators within 0.9%, 4.9%, and 6.6% with respect to RTL implementations. Integrated with architecture-level core and memory hierarchy simulators, Aladdin provides researchers an approach to model the power and performance of accelerators in an SoC environment
ACM Digital Library