Agile SoC development with open ESP

P Mantovani, D Giri, G Di Guglielmo… - Proceedings of the 39th …, 2020 - dl.acm.org
Proceedings of the 39th International Conference on Computer-Aided Design, 2020dl.acm.org
ESP is an open-source research platform for heterogeneous SoC design. The platform
combines a modular tile-based architecture with a variety of application-oriented flows for
the design and optimization of accelerators. The ESP architecture is highly scalable and
strikes a balance between regularity and specialization. The companion methodology raises
the level of abstraction to system-level design and enables an automated flow from software
and hardware development to full-system prototyping on FPGA. For application developers …
ESP is an open-source research platform for heterogeneous SoC design. The platform combines a modular tile-based architecture with a variety of application-oriented flows for the design and optimization of accelerators. The ESP architecture is highly scalable and strikes a balance between regularity and specialization. The companion methodology raises the level of abstraction to system-level design and enables an automated flow from software and hardware development to full-system prototyping on FPGA. For application developers, ESP offers domain-specific automated solutions to synthesize new accelerators for their software and to map complex workloads onto the SoC architecture. For hardware engineers, ESP offers automated solutions to integrate their accelerator designs into the complete SoC. Conceived as a heterogeneous integration platform and tested through years of teaching at Columbia University, ESP supports the open-source hardware community by providing a flexible platform for agile SoC development.
ACM Digital Library