Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2897937.2898106acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Two-step state transition minimization for lifetime and performance improvement on MLC STT-RAM

Published: 05 June 2016 Publication History

Abstract

Spin-transfer torque random access memory (STT-RAM) is considered as a promising candidate to replace SRAM as the next generation cache memory since it has better scalability and lower leakage power. Recently, 2-bit multi-level cell (MLC) STT-RAM has been proposed to further increase data density. However, a key drawback for MLC STT-RAM is that the magnetization directions of its hard and soft domains cannot be flipped to two opposite directions simultaneously, which leads to the two-step problem in state transitions. Two-step state transitions would significantly impact the lifetime of MLC STT-RAM due to the wasted flips in the soft domains. To solve the problem, this paper proposes a novel two-step state transition minimization (TSTM) scheme, to improve the lifetime of MLC STT-RAM when it is employed in cache design. The basic idea is by sacrificing certain cells as auxiliary flags, the two-step state transitions in STT-RAM can be well eliminated. Experimental results show that the proposed scheme can improve the lifetime of MLC STT-RAM to 318.5%.

References

[1]
H. Farkhani, A. Peiravi, and F. Moradi, "Reliable, low energy write operation for 1T-1MTJ STT-RAM bitcells with negative bitline technique," IEEE TVLSI, 2015.
[2]
M.-T. Chang, P. Rosenfeld, S.-L. Lu, and B. Jacob, "Technology comparison for large last-level caches (L3Cs): Low-leakage SRAM, low write-energy STT-RAM, and refresh-optimized eDRAM," in HPCA 2013, pp. 143--154.
[3]
W. Kang, L. Zhang, J.-O. Klein, Y. Zhang, D. Ravelosona, and W. Zhao, "Reconfigurable codesign of STT-MRAM under process variations in deeply scaled technology," IEEE Transactions on Electron Devices, vol. 62, no. 6, pp. 1769--1777, 2015.
[4]
A. Jog, A. K. Mishra, C. Xu, Y. Xie, V. Narayanan, R. Iyer, and C. R. Das, "Cache revive: architecting volatile STT-RAM caches for enhanced performance in CMPs," in DAC 2012, pp. 243--252.
[5]
W.-K. Cheng and Y.-H. Ciou, "A data migration approach for L1 cache design with SRAM and volatile STT-RAM," in ICS 2014, vol. 274, p. 246.
[6]
W. Wen, Y. Zhang, M. Mao, and Y. Chen, "State-restrict MLC STT-RAM designs for high-reliable high-performance memory system," in DAC 2014, pp. 1--6.
[7]
S. Hong, J. Lee, and S. Kim, "Ternary cache: Three-valued MLC STT-RAM caches," in ICCD 2014, pp. 83--89.
[8]
M. H. Samavatian, M. Arjomand, R. Bashizade, and H. Sarbazi-Azad, "Architecting the last-level cache for GPUs using STT-RAM Technology," ACM TODAES, vol. 20, no. 4, p. 55, 2015.
[9]
T. Ishigaki, T. Kawahara, R. Takemura, K. Ono, K. Ito, H. Matsuoka, and H. Ohno, "A multi-level-cell spin-transfer torque memory with series-stacked magnetotunnel junctions," in VLSI 2010, pp. 1--6.
[10]
X. Lou, Z. Gao, D. V. Dimitrov, and M. X. Tang, "Demonstration of multilevel cell spin transfer switching in MgO magnetic tunnel junctions," Applied Physics Letters, vol. 93, no. 24, p. 242502, 2008.
[11]
J. Wang, X. Dong, Y. Xie, and N. P. Jouppi, "i2WAP: Improving non-volatile cache lifetime by reducing inter-and intra-set write variations," in HPCA 2013, pp. 234--245.
[12]
Y. Huai, "Spin-transfer torque MRAM (STT-MRAM): Challenges and prospects," AAPPS Bulletin, vol. 18, no. 6, pp. 33--40, 2008.
[13]
Y. Chen, X. Wang, W. Zhu, H. Li, Z. Sun, G. Sun, and Y. Xie, "Access scheme of multi-level cell spin-transfer torque random access memory and its optimization," in MWSCAS 2010, pp. 1109--1112.
[14]
S. Mittal, J. S. Vetter, and D. Li, "LastingNVCache: A technique for improving the lifetime of non-volatile caches," in ISVLSI 2014, pp. 534--540.
[15]
Y. Chen, W.-F. Wong, H. Li, C.-K. Koh, Y. Zhang, and W. Wen, "On-chip caches built on multilevel spin-transfer torque RAM cells and its optimizations," ACM JETC, vol. 9, no. 2, p. 16, 2013.
[16]
S. Yazdanshenas, M. R. Pirbasti, M. Fazeli, and A. Patooghy, "Coding last level STT-RAM cache for high endurance and low power," Computer Architecture Letters, vol. 13, no. 2, pp. 73--76, 2014.
[17]
Y.-T. Chen, J. Cong, H. Huang, C. Liu, R. Prabhakar, and G. Reinman, "Static and dynamic co-optimizations for blocks mapping in hybrid caches," in ISLPED 2012, pp. 237--242.
[18]
Y. Zhang, X. Wang, and Y. Chen, "STT-RAM cell design optimization for persistent and non-persistent error rate reduction: a statistical design view," in ICCAD 2011, pp. 471--477.
[19]
W. Kang, W. Zhao, Z. Wang, Y. Zhang, J.-O. Klein, C. Chappert, Y. Zhang, and D. Ravelosona, "DFSTT-MRAM: dual functional STT-MRAM cell structure for reliability enhancement and 3-D MLC functionality," IEEE Transactions on Magnetics, vol. 50, no. 6, pp. 1--7, 2014.
[20]
J. Ahn and K. Choi, "Lower-bits cache for low power STT-RAM caches," in ISCAS 2012, pp. 480--483.
[21]
P. Zhou, B. Zhao, J. Yang, and Y. Zhang, "Energy reduction for STT-RAM using early write termination," in ICCAD 2009, pp. 264--268.
[22]
X. Bi, M. Mao, D. Wang, and H. Li, "Unleashing the potential of MLC STT-RAM caches," in ICCAD 2013, pp. 429--436.
[23]
N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi, A. Basu, J. Hestness, D. R. Hower, T. Krishna, S. Sardashti et al., "The Gem5 simulator," ACM SIGARCH Computer Architecture News, vol. 39, no. 2, pp. 1--7, 2011.
[24]
M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge, and R. B. Brown, "MiBench: A free, commercially representative embedded benchmark suite," in WWC-4, 2001, pp. 3--14.

Cited By

View all
  • (2023)A Low-Latency and High-Endurance MLC STT-MRAM-Based Cache SystemIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.316945842:1(122-135)Online publication date: Jan-2023
  • (2022)Alternative Encoding: A Two-Step Transition Reduction Scheme for MLC STT-RAM CacheIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.311263841:8(2753-2757)Online publication date: Aug-2022
  • (2021)TSE: Two-Step Elimination for MLC STT-RAM Last-Level CacheIEEE Transactions on Computers10.1109/TC.2020.301436170:9(1498-1510)Online publication date: 1-Sep-2021
  • Show More Cited By
  1. Two-step state transition minimization for lifetime and performance improvement on MLC STT-RAM

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Other conferences
    DAC '16: Proceedings of the 53rd Annual Design Automation Conference
    June 2016
    1048 pages
    ISBN:9781450342360
    DOI:10.1145/2897937
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 05 June 2016

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Research-article

    Funding Sources

    Conference

    DAC '16

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)14
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 01 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)A Low-Latency and High-Endurance MLC STT-MRAM-Based Cache SystemIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.316945842:1(122-135)Online publication date: Jan-2023
    • (2022)Alternative Encoding: A Two-Step Transition Reduction Scheme for MLC STT-RAM CacheIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.311263841:8(2753-2757)Online publication date: Aug-2022
    • (2021)TSE: Two-Step Elimination for MLC STT-RAM Last-Level CacheIEEE Transactions on Computers10.1109/TC.2020.301436170:9(1498-1510)Online publication date: 1-Sep-2021
    • (2021)Read/Write Disturbance-Aware Design for MLC STT-RAM-based Cache2021 IEEE 27th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA)10.1109/RTCSA52859.2021.00009(11-20)Online publication date: Aug-2021
    • (2020)MLC STT-MRAM-Aware Memory Subsystem for Smart Image ApplicationsIEEE Transactions on Multimedia10.1109/TMM.2019.293034222:3(717-729)Online publication date: Mar-2020
    • (2019)LiwePMSACM Journal on Emerging Technologies in Computing Systems10.1145/332796315:3(1-24)Online publication date: 10-Jun-2019
    • (2019)A Restore-Free Mode for MLC STT-RAM CachesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2019.2899894(1-5)Online publication date: 2019
    • (2019)Rethinking Last-level-cache Write-back Strategy for MLC STT-RAM Main Memory with Asymmetric Write Energy2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED)10.1109/ISLPED.2019.8824899(1-6)Online publication date: Jul-2019
    • (2019)Periodic learning-based region selection for energy-efficient MLC STT-RAM cacheThe Journal of Supercomputing10.1007/s11227-019-02846-1Online publication date: 8-Apr-2019
    • (2018)LAWNProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3196066(1-6)Online publication date: 24-Jun-2018
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media