Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2990299.2990312acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article
Open access

Architecture exploration of intelligent robot system using ROS-compliant FPGA component

Published: 01 October 2016 Publication History

Abstract

This paper presents a novel method for architecture exploration of an intelligent robot system while satisfying high processing performance at low power by utilizing FPGA and remote computing resources. In order to ease development complexity in the conventional architecture exploration, ROS-compliant FPGA component technology is employed. As a case study, Visual SLAM (Self Localization and Mapping) processing is studied, which is important for realizing intelligent autonomous robots. Some part of Visual SLAM processing is to be off-loaded onto a remote server outside a robot and to be processed parallel in the server. At the same time, the essential part of front-end of SLAM processing stays in the robot itself to reduce communication traffic between the robot and the remote computing resources. We have studied SLAM processing to find optimum function partitioning. In order to distribute and parallelize this processing, we explored processing architecture for trade-offs of power and performance.

References

[1]
Siegwart, R., Nourbakhsh, I. R. and Scaramuzza, D. 2011, Introduction to autonomous mobile robots - second edition, MIT press
[2]
Yamashina, K., Ohkawa, T., Ootsu, K. and Yokota, T. 2015, Proposal of ROS-compliant FPGA Component for Low-Power Robotic Systems - case study on image processing application -, In Proceedings of 2nd International Workshop on FPGAs for Software Programmers (FSP2015), 62--67. arXiv:1508.07123
[3]
Durrant-Whyte, H., and Bailey, T. 2006, Simultaneous localization and mapping: part I. Robotics & Automation Magazine, IEEE 13.2, 99--110.
[4]
Dissanayake, M.W.M.G., Newman, P., Clark, S., Durrant-Whyte, H. and Csorba, M. 2001, A solution to the simultaneous localization and map building (SLAM) problem, IEEE Transactions on Robotics and Automation, 17.3, 229--241.
[5]
Montemerlo, M., Thrun, S., Koller, D. and Wegbreit, B. 2002, FastSLAM: A factored solution to the simultaneous localization and mapping problem, The American Association for Artificial Intelligence (AAAI-02), 593--598.
[6]
Davison, A.J., Reid, I.D., Molton, N.D. and Stasse, O. 2007, MonoSLAM: Real-time single camera SLAM, IEEE Transactions on Pattern Analysis and Machine Intelligence, 29.6, 1052--1067.
[7]
Engelhard, N., Endres, F., Hess, J., Sturm, J. and Burgard, W. 2011, Real-time 3D visual SLAM with a hand-held RGB-D camera, In Proceedings of the RGB-D Workshop on 3D Perception in Robotics at the European Robotics Forum, Vasteras, Sweden. Vol. 180.
[8]
Jawawi, D.N.A., Mamat, R. and Deris, S. 2007, A component-oriented programming for embedded mobile robot software, International Journal of Advanced Robotic Systems, 4, 3, 371--380.
[9]
Imai, M., Takahashi, M., Moriguchi, T., Okada, T., Minato, Y., Nakano, T., Tanaka, S., Shitamoto, H. and Hori, T. 2009, A Transportation System using a Robot for Hospital, Journal of The Robotics Society of Japan, 27, 10, 1101--1104.
[10]
Sano, K. Luzhou, W., Hatsuda, Y., Iizuka, T. and Yamamoto, S. 2010, FPGA-Array with Bandwidth-Reduction Mechanism for Scalable and Power-Efficient Numerical Simulations based on Finite Difference Methods, ACM Transactions on Reconfigurable Technology and Systems (TRETS), 3, 4, Article No.21, 35 pages.
[11]
Kumar, K., Liu, J., Lu, Y.H. and Bhargava, B. 2013, A Survey of Computation Offloading for Mobile Systems, Mobile Networks and Applications, 18, 1, 129--140.
[12]
Stefanov, T., Zissulescu, C., Turjan, A., Kienhuis, B. and Deprettere, E. 2004, System design using Kahn process networks: the Compaan/Laura approach, In Proceedings of Design, Automation and Test in Europe Conference and Exhibition 2004
[13]
Rublee, E., Rabaud, V., Konolige, K. and Bradski, G. 2011, ORB: An efficient alternative to SIFT or SURF, In Proceedings of the 2011 IEEE International Conference on Computer Vision (ICCV '11), 2564--2571.
[14]
Ando, N., Suehiro, T., Kitagaki, K., Kotoku, T., Yoon, W.K. 2005, RT-middleware: distributed component middleware for RT (robot technology), In Proceedings of the 2005 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS 2005).
[15]
Yamashina, K., Kimura, H., Ohkawa, T., Ootsu, K., Yokota, T. 2016, cReComp: Automated Design Tool for ROS-Compliant FPGA Component, In Proceedings of the IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-16) (to appear)
[16]
RTAB-Map (Access: 2016/8/22) http://introlab.github.io/rtabmap/
[17]
Open Source Robotics Foundation (Access: 2016/8/22) http://wiki.ros.org/
[18]
OpenRTM-aist (Access: 2016/8/22) http://www.openrtm.org/openrtm/ja/
[19]
RTC (Robotic Technology Component) (Access: 2016/8/22) http://www.omg.org/spec/RTC/1.1/
[20]
OpenReroc (Access: 2016/8/22) http://www.is.utsunomiya-u.ac.jp/pearlab/openreroc/en/
[21]
cReComp (Access: 2016/8/22) https://github.com/kazuyamashi/cReComp

Cited By

View all
  • (2024)RobotPerf: An Open-Source, Vendor-Agnostic, Benchmarking Suite for Evaluating Robotics Computing System Performance2024 IEEE International Conference on Robotics and Automation (ICRA)10.1109/ICRA57147.2024.10610841(8288-8297)Online publication date: 13-May-2024
  • (2023)Hardware Acceleration with Zero-Copy Memory Management for Heterogeneous Computing2023 IEEE 29th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA)10.1109/RTCSA58653.2023.00013(28-37)Online publication date: 30-Aug-2023
  • (2023)A Survey on Adaptive Computing in Robotics: Modelling, Methods and ApplicationsIEEE Access10.1109/ACCESS.2023.328119011(53830-53849)Online publication date: 2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
RSP '16: Proceedings of the 27th International Symposium on Rapid System Prototyping: Shortening the Path from Specification to Prototype
October 2016
141 pages
ISBN:9781450345354
DOI:10.1145/2990299
This work is licensed under a Creative Commons Attribution International 4.0 License.

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 October 2016

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. FPGA
  2. ROS
  3. architecture exploration
  4. component-oriented development
  5. robot

Qualifiers

  • Research-article

Conference

ESWEEK'16
Sponsor:
ESWEEK'16: TWELFTH EMBEDDED SYSTEM WEEK
October 1 - 7, 2016
Pennsylvania, Pittsburgh

Upcoming Conference

ESWEEK '24
Twentieth Embedded Systems Week
September 29 - October 4, 2024
Raleigh , NC , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)70
  • Downloads (Last 6 weeks)11
Reflects downloads up to 13 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)RobotPerf: An Open-Source, Vendor-Agnostic, Benchmarking Suite for Evaluating Robotics Computing System Performance2024 IEEE International Conference on Robotics and Automation (ICRA)10.1109/ICRA57147.2024.10610841(8288-8297)Online publication date: 13-May-2024
  • (2023)Hardware Acceleration with Zero-Copy Memory Management for Heterogeneous Computing2023 IEEE 29th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA)10.1109/RTCSA58653.2023.00013(28-37)Online publication date: 30-Aug-2023
  • (2023)A Survey on Adaptive Computing in Robotics: Modelling, Methods and ApplicationsIEEE Access10.1109/ACCESS.2023.328119011(53830-53849)Online publication date: 2023
  • (2022)RobotCore: An Open Architecture for Hardware Acceleration in ROS 22022 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS)10.1109/IROS47612.2022.9982082(9692-9699)Online publication date: 23-Oct-2022
  • (2022)MPSoC4Drones: An Open Framework for ROS2, PX4, and FPGA Integration2022 International Conference on Unmanned Aircraft Systems (ICUAS)10.1109/ICUAS54217.2022.9836055(1246-1255)Online publication date: 21-Jun-2022
  • (2021)ReconfROS: Running ROS on Reconfigurable SoCsProceedings of the 2021 Drone Systems Engineering and Rapid Simulation and Performance Evaluation: Methods and Tools Proceedings10.1145/3444950.3444959(16-21)Online publication date: 18-Jan-2021
  • (2020)Hardware-in-the-loop simulation with dynamic partial FPGA reconfiguration applied to computer vision in ROS-based UAV2020 International Workshop on Rapid System Prototyping (RSP)10.1109/RSP51120.2020.9244863(1-7)Online publication date: 24-Sep-2020
  • (2019)Field Programmable Gate Array Applications—A Scientometric ReviewComputation10.3390/computation70400637:4(63)Online publication date: 11-Nov-2019
  • (2019)FPGA-ROS: Methodology to Augment the Robot Operating System with FPGA Designs2019 International Conference on ReConFigurable Computing and FPGAs (ReConFig)10.1109/ReConFig48160.2019.8994719(1-5)Online publication date: Dec-2019
  • (2018)Towards a schedulability-driven architecture exploration for mixed criticality multicore systemsProceedings of the 22nd International Symposium on Distributed Simulation and Real Time Applications10.5555/3330299.3330304(37-46)Online publication date: 15-Oct-2018
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media