Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
© 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com SOLUTIONS MANUAL DIGITAL DESIGN FOURTH EDITION M. MORRIS MANO California State University, Los Angeles MICHAEL D. CILETTI University of Colorado, Colorado Springs rev 01/21/2007 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 1 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com CHAPTER 1 1.1 Base-10: Octal: Hex: Base-13 16 20 10 A 17 21 11 B 18 22 12 C 19 23 13 10 20 24 14 11 21 25 15 12 1.2 (a) 32,768 1.3 (4310)5 = 4 * 53 + 3 * 52 + 1 * 51 = 58010 (b) 67,108,864 22 26 16 13 23 27 17 14 24 30 18 15 25 31 19 16 26 27 28 29 30 32 33 34 35 36 1A 1B 1C 1D 1E 17 18 19 23 24 31 37 1F 25 32 40 20 26 (c) 6,871,947,674 (198)12 = 1 * 122 + 9 * 121 + 8 * 120 = 26010 (735)8 = 7 * 82 + 3 * 81 + 5 * 80 = 47710 (525)6 = 5 * 62 + 2 * 61 + 5 * 60 = 19710 1.4 14-bit binary: 11_1111_1111_1111 Decimal: 214 -1 = 16,38310 Hexadecimal: 3FFF16 1.5 Let b = base (a) 14/2 = (b + 4)/2 = 5, so b = 6 (b) 54/4 = (5*b + 4)/4 = b + 3, so 5 * b = 52 – 4, and b = 8 (c) (2 *b + 4) + (b + 7) = 4b, so b = 11 1.6 (x – 3)(x – 6) = x2 –(6 + 3)x + 6*3 = x2 -11x + 22 Therefore: 6 + 3 = b + 1m so b = 8 Also, 6*3 = (18)10 = (22)8 1.7 68BE = 0110_1000_1011_1110 = 110_100_010_111_110 = (64276)8 1.8 (a) Results of repeated division by 2 (quotients are followed by remainders): 43110 = 215(1); 107(1); 53(1); 26(1); 13(0); 6(1) Answer: 1111_10102 = FA16 3(0) 1(1) (b) Results of repeated division by 16: 43110 = 26(15); 1(10) (Faster) Answer: FA = 1111_1010 1.9 (a) 10110.01012 = 16 + 4 + 2 + .25 + .0625 = 22.3125 (b) 16.516 = 16 + 6 + 5*(.0615) = 22.3125 (c) 26.248 = 2 * 8 + 6 + 2/8 + 4/64 = 22.3125 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 2 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com (d) FAFA.B16 = 15*163 + 10*162 + 15*16 + 10 + 11/16 = 64,250.6875 (e) 1010.10102 = 8 + 2 + .5 + .125 = 10.625 1.10 (a) 1.100102 = 0001.10012 = 1.916 = 1 + 9/16 = 1.56310 (b) 110.0102 = 0110.01002 = 6.416 = 6 + 4/16 = 6.2510 Reason: 110.0102 is the same as 1.100102 shifted to the left by two places. 1.11 1011.11 101 | 111011.0000 101 01001 101 1001 101 1000 101 0110 The quotient is carried to two decimal places, giving 1011.11 Checking: 1110112 / 1012 = 5910 / 510 # 1011.112 = 58.7510 1.12 (a) 10000 and 110111 1011 +101 10000 = 1610 1011 x101 1011 1011 110111 = 5510 (b) 62h and 958h 2Eh +34 h 62h 1.13 0010_1110 0011_0100 0110_0010 = 9810 2Eh x34h B38 2 8A 9 5 8h = 239210 (a) Convert 27.315 to binary: 27/2 = 13/2 6/2 3/2 ½ Integer Quotient 13 6 3 1 0 Remainder + + + + + ½ ½ 0 ½ ½ Coefficient a0 = 1 a1 = 1 a2 = 0 a3 = 1 a4 = 1 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 3 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com 2710 = 110112 .315 x 2 .630 x 2 .26 x 2 .52 x 2 = = = = Integer 0 1 0 1 + + + + Fraction .630 .26 .52 .04 Coefficient a-1 = 0 a-2 = 1 a-3 = 0 a-4 = 1 .31510 # .01012 = .25 + .0625 = .3125 27.315 # 11011.01012 (b) 2/3 # .6666666667 .6666_6666_67 x 2 .3333333334 x 2 .6666666668 x 2 .3333333336 x 2 .6666666672 x 2 .3333333344 x 2 .6666666688 x 2 .3333333376 x 2 Integer = 1 = 0 = 1 = 0 = 1 = 0 = 1 = 0 + + + + + + + + Fraction .3333_3333_34 .6666666668 .3333333336 .6666666672 .3333333344 .6666666688 .3333333376 .6666666752 Coefficient a-1 = 1 a-2 = 0 a-3 = 1 a-4 = 0 a-5 = 1 a-6 = 0 a-7 = 1 a-8 = 0 .666666666710 # .101010102 = .5 + .125 + .0313 + ..0078 = .664110 .101010102 = .1010_10102 = .AA16 = 10/16 + 10/256 = .664110 (Same as (b)). 1.14 1.15 1.16 1.17 (a) 1000_0000 1s comp: 0111_1111 2s comp: 1000_0000 (b) 0000_0000 1s comp: 1111_1111 2s comp: 0000_0000 (c) 1101_1010 1s comp: 0010_0101 2s comp: 0010_0110 (d) 0111_0110 1s comp: 1000_1001 2s comp: 1000_1010 (e) 1000_0101 1s comp: 0111_1010 2s comp: 0111_1011 (f) 1111_1111 1s comp: 0000_0000 2s comp: 0000_0001 (a) 52,784,630 9s comp: 47,215,369 10s comp: 47,215,370 (b) 63,325,600 9s comp: 36,674,399 10s comp: 36,674,400 (c) 25,000,000 9s comp: 74,999,999 10s comp: 75,000,000 (d) 00,000,000 9s comp: 99,999,999 10s comp: 00,000,000 B2FA B2FA: 1011_0010_1111_1010 15s comp: 4D05 1s comp: 0100_1101_0000_0101 16s comp: 4D06 2s comp: 0100_1101_0000_0110 = 4D06 (a) 3409 o 03409 o96590 (9s comp) o 96591 (10s comp) 06428 – 03409 = 06428 + 96591 = 03019 (b) 1800 o 01800 o 98199 (9s comp) o 98200 (10 comp) 125 – 1800 = 00125 + 98200 = 98325 (negative) Magnitude: 1675 Result: 125 – 1800 = 1675 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 4 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com 5 (c) 6152 o 06152 o 93847 (9s comp) o 93848 (10s comp) 2043 – 6152 = 02043 + 93848 = 95891 (Negative) Magnitude: 4109 Result: 2043 – 6152 = -4109 (d) 745 o 00745 o 99254 (9s comp) o 99255 (10s comp) 1631 -745 = 01631 + 99255 = 0886 (Positive) Result: 1631 – 745 = 886 1.18 Note: Consider sign extension with 2s complement arithmetic. (a) (c) 1.19 10001 1s comp: 01110 2s comp: 01111 10011 Diff: 00010 (b) 100011 1s comp: 1011100 2s comp: 1011101 0100010 1111111 0000001 -000001 101000 (d) 1s comp: 1010111 1s comp: 2s comp: 1011000 2s comp: 001001 Diff: 1100001 (negative) 0011111 (2s comp) -011111 (diff is -31) with sign extension sign bit indicates that the result is negative 2s complement result 10101 1101010 with sign extension 1101011 110000 0011011 sign bit indicates that the result is positive Check: 48 -21 = 27 +9286 o 009286; +801 o 000801; -9286 o 990714; -801 o 999199 (a) (+9286) + (_801) = 009286 + 000801 = 010087 (b) (+9286) + (-801) = 009286 + 999199 = 008485 (c) (-9286) + (+801) = 990714 + 000801 = 991515 (d) (-9286) + (-801) = 990714 + 999199 = 989913 1.20 +49 o 0_110001 (Needs leading zero indicate + value); +29 o 0_011101 (Leading 0 indicates + value) -49 o 1_001111; -29 o 1_100011 (a) (+29) + (-49) = 0_011101 + 1_001111 = 1_101100 (1 indicates negative value.) Magnitude = 0_010100; Result (+29) + (-49) = -20 (b) (-29) + (+49) = 1_100011 + 0_110001 = 0_010100 (0 indicates positive value) (-29) + (+49) = +20 (c) Must increase word size by 1 (sign extension) to accomodate overflow of values: (-29) + (-49) = 11_100011 + 11_001111 = 10_110010 (1 indicates negative result) Magnitude: 1_001110 = 7810 Result: (-29) + (-49) = -78 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com 1.21 +9742 o 009742 o 990257 (9's comp) o 990258 (10s) comp +641 o 000641 o 999358 (9's comp) o 999359 (10s) comp (a) (+9742) + (+641) o 010383 (b) (+9742) + (-641) o009742 + 999359 = 009102 Result: (+9742) + (-641) = 9102 (c) -9742) + (+641) = 990258 + 000641 = 990899 (negative) Magnitude: 009101 Result: (-9742) + (641) = -9101 (d) (-9742) + (-641) = 990258 + 999359 = 989617 (Negative) Magnitude: 10383 Result: (-9742) + (-641) = -10383 1.22 8,723 BCD: ASCII: 1000_0111_0010_0011 0_011_1000_011_0111_011_0010_011_0001 1.23 1000 0100 0010 ( 842) 0101 0011 0111 (+537) 1101 0111 1001 0110 0001 0011 0111 0101 (1,379) 1.24 (a) 6 0 0 0 0 0 0 1 1 1 1 3 0 0 0 1 1 1 0 0 0 1 (b) 1 0 0 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 0 0 1 0 Decimal 0 1 2 3 4 (or 0101) 5 6 7 (or 1001) 8 9 1.25 (a) 5,13710 (b) (c) (d) 1.26 5,137 9s Comp: 2421 code: 1s comp: 6 0 0 0 0 0 0 1 1 1 1 BCD: Excess-3: 2421: 6311: 4 0 0 0 0 1 1 0 0 0 0 2 0 0 1 1 0 0 0 0 1 1 1 0 1 0 1 0 1 0 1 0 1 Decimal 0 1 2 3 4 5 6 (or 0110) 7 8 9 0101_0011_0111 1000_0100_0110_1010 1011_0001_0011_0111 0111_0001_0100_1001 4,862 0100_1110_1100_1000 1011_0001_0011_0111 same as (c) in 1.25 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 6 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 7 www.Mohandesyar.com 1.27 For a deck with 52 cards, we need 6 bits (32 < 52 < 64). Let the msb's select the suit (e.g., diamonds, hearts, clubs, spades are encoded respectively as 00, 01, 10, and 11. The remaining four bits select the "number" of the card. Example: 0001 (ace) through 1011 (9), plus 101 through 1100 (jack, queen, king). This a jack of spades might be coded as 11_1010. (Note: only 52 out of 64 patterns are used.) 1.28 G (dot) (space) B o o l e 01000111_11101111_01101000_01101110_00100000_11000100_11101111_11100101 1.29 Bill Gates 1.30 73 F4 E5 76 E5 4A EF 62 73 73: F4: E5: 76: E5: 4A: EF: 62: 73: 0_111_0011 1_111_0100 1_110_0101 0_111_0110 1_110_0101 0_100_1010 1_110_1111 0_110_0010 0_111_0011 s t e v e j o b s 1.31 62 + 32 = 94 printing characters 1.32 bit 6 from the right 1.33 (a) 897 1.34 ASCII for decimal digits with odd parity: (0): (4): (8): 1.35 (b) 564 10110000 00110100 00111000 (1): (5): (9): (c) 871 00110001 10110101 10111001 (d) 2,199 (2): (6): 00110010 10110110 (3): (7): 10110011 00110111 (a) a b c a f b c g f g 1.36 a b a f g b f g Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 8 www.Mohandesyar.com CHAPTER 2 2.1 (a) xyz x+y+z 000 001 010 011 100 101 110 111 0 1 1 1 1 1 1 1 (x + y + z)' x' 1 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 y' z' x' y' z' xyz (xyz) (xyz)' x' y' z' x' + y' + z' 1 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 1 0 0 0 0 0 0 0 000 001 010 011 100 101 110 111 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 1 1 1 1 1 1 1 0 (b) (c) xyz x + yz (x + y) (x + z) (x + y)(x + z) xyz x(y + z) xy xz xy + xz 000 001 010 011 100 101 110 111 0 0 0 1 1 1 1 1 0 0 1 1 1 1 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 1 1 1 000 001 010 011 100 101 110 111 0 0 0 0 0 1 1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 0 0 0 1 1 1 (c) 2.2 (d) xyz x y+z x + (y + z) (x + y) (x + y) + z xyz yz x(yz) xy (xy)z 000 001 010 011 100 101 110 111 0 0 0 0 1 1 1 1 0 1 1 1 0 1 1 1 0 1 1 1 1 1 1 1 0 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 000 001 010 011 100 101 110 111 0 0 0 1 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 (a) xy + xy' = x(y + y') = x (b) (x + y)(x + y') = x + yy' = x(x +y') + y(x + y') = xx + xy' + xy + yy' = x (c) xyz + x'y + xyz' = xy(z + z') + x'y = xy + x'y = y (d) (A + B)'(A' + B') = (A'B')(A B) = (A'B')(BA) = A'(B'BA) = 0 (e) xyz' + x'yz + xyz + x'yz' = xy(z + z') + x'y(z + z') = xy + x'y = y (f) (x + y + z')(x' + y' + z) = xx' + xy' + xz + x'y + yy' + yz + x'z' + y'z' + zz' = = xy' + xz + x'y + yz + x'z' + y'z' = x† y + (x† z)' + (y† z)' 2.3 (a) ABC + A'B + ABC' = AB + A'B = B Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com (b) x'yz + xz = (x'y + x)z = z(x + x')(x + y) = z(x + y) (c) (x + y)'(x' + y') = x'y'(x' + y') = x'y' (d) xy + x(wz + wz') = x(y +wz + wz') = x(w + y) (e) (BC' + A'D)(AB' + CD') = BC'AB' + BC'CD' + A'DAB' + A'DCD' = 0 (f) (x + y' + z')(x' + z') =xx' + xz' + x'y' + y'z' + x'z' + z'z' = z' + y'(x' + z') = z' + x'y' (a) A'C' + ABC + AC' = C' + ABC = (C + C')(C' + AB) = AB + C' 2.4 (b) (x'y' + z)' + z + xy + wz = (x'y')'z' + z + xy + wz =[ (x + y)z' + z] + xy + wz = = (z + z')(z + x + y) + xy + wz = z + wz + x + xy + y = z(1 + w) + x(1 + y) + y = x + y + z (c) A'B(D' + C'D) + B(A + A'CD) = B(A'D' + A'C'D + A + A'CD) = B(A'D' + A + A'D(C + C') = B(A + A'(D' + D)) = B(A + A') = B (d) (A' + C)(A' + C')(A + B + C'D) = (A' + CC')(A + B + C'D) = A'(A + B + C'D) = AA' + A'B + A'C'D = A'(B + C'D) (e) ABCD + A'BD + ABC'D = ABD + A'BD = BD 2.5 (a) x y Fsimplified F (b) x y Fsimplified F (c) Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 9 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com x y z Fsimplified F (d) A B 0 Fsimplified F (e) x y z Fsimplified F (f) Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 10 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com x y z F Fsimplified 2.6 (a) A B C F Fsimplified (b) x y z F Fsimplified (c) x y F Fsimplified Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 11 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 12 www.Mohandesyar.com (d) w x y z F Fsimplified (e) A B C D Fsimplified = 0 F (f) w x y z F Fsimplified 2.7 (a) A B C D F Fsimplified Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 13 www.Mohandesyar.com (b) w x y z F Fsimplified (c) A B C D F Fsimplified (d) A B C D F Fsimplified Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com (e) A B C D F Fsimplified 2.8 F' = (wx + yz)' = (wx)'(yz)' = (w' + x')(y' + z') FF' = wx(w' + x')(y' + z') + yz(w' + x')(y' + z') = 0 F + F' = wx + yz + (wx + yz)' = A + A' = 1 with A = wx + yz 2.9 (a) F' = (xy' + x'y)' = (xy')'(x'y)' = (x' + y)(x + y') = xy + x'y' (b) F' = [(A'B + CD)E' + E]' = [(A'B + CD) + E]' = (A'B + CD)'E' = (A'B)'(CD)'E' F' = (A + B')(C' + D')E' = AC'E' + A D'E' + B'C'E' + B'D'E' (c) F' = [(x' + y + z')(x + y')(x + z)]' = (x' + y + z')' + (x + y')' + (x + z)' = F' = xy'z + x'y + x'z' 2.10 (a) F1 + F2 = 6 m1i + 6m2i = 6 (m1i + m2i) (b) F1 F2 = 6 mi 6mj where mi mj = 0 if i z j and mi mj = 1 if i = j 2.11 (a) F(x, y, z) = 6(1, 4, 5, 6, 7) (b) F(x, y, z) = 6(0, 2, 3, 7) F = xy + xy' + y'z F = x'z' + yz xyz F xyz F 000 001 010 011 100 101 110 111 0 1 0 0 1 1 1 1 000 001 010 011 100 101 110 111 1 0 1 1 0 0 0 1 A = 1011_0001 B = 1010_1100 2.12 (a) (b) (c) A AND B = 1010_0000 A OR B = 1011_1101 A XOR B = 0001_1101 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 14 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com (d) (e) 2.13 NOT A = 0100_1110 NOT B = 0101_0011 (a) A B C Y = A + B + B'(a + C') (b) A B C D Y = A(B xor D) + C' (c) A B CD Y = A + CD + ABC (d) A B C Y = (A xor C)' + B (e) A B C D Y = (A'+ B')C + D') (f) A B C D Y = (A+ B')C' + D) Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 15 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com 2.14 (a) x y z F =xy + x'y' + y'z (b)  x y z F = xy + x'y' + y'z = (x' + y')' + (x + y)' + (y + z')' (c) x y z F = xy + x'y' + y'z = [(xy)' (x'y')' (y'z)']' (d) x y z F = xy + x'y' + y'z = [(xy)' (x'y')' (y'z)']' Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 16 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 17 www.Mohandesyar.com (e) x y z F = xy + x'y' + y'z = (x' + y')' + (x + y)' + (y + z')' 2.15 (a) T1 = A'B'C' + A'B'C + A'BC' = A'B'(C' + C) +A'C'(B' + B) = A'B' +A'C' = A'(B' + C') (b) T2 =T1' = A'BC + AB'C' + AB'C + ABC' + ABC = BC(A' + A) + AB'(C' + C) + AB(C' + C) = BC + AB' + AB = BC + A(B' + B) = A + BC ¦ (3, 5, 6, 7) 3 (0, 1, 2, 4) T1 = A'B'C' + A'B'C + A'BC' A'B' T2 = A'BC + AB'C' + AB'C + ABC' + ABC A'C' AC' AC T1 = A'B' A'C' = A'(B' + C') BC T2 =AC' + BC + AC = A+ BC 2.16 (a) F(A, B, C) = A'B'C' + A'B'C + A'BC' + A'BC + AB'C' + AB'C + ABC' + ABC = A'(B'C' + B'C + BC' + BC) + A((B'C' + B'C + BC' + BC) = (A' + A)(B'C' + B'C + BC' + BC) = B'C' + B'C + BC' + BC = B'(C' + C) + B(C' + C) = B' + B = 1 (b) F(x1, x2, x3, ..., xn) = 6mi has 2n/2 minterms with x1 and 2n/2 minterms with x'1, which can be factored and removed as in (a). The remaining 2n-1 product terms will have 2n-1/2 minterms with x2 and 2n-1/2 minterms with x'2, which and be factored to remove x2 and x'2. continue this process until the last term is left and xn + x'n = 1. Alternatively, by induction, F can be written as F = xnG + x'nG with G = 1. So F = (xn + x'n)G = 1. 2.17 (a) (xy + z)(y + xz) = xy + yz + xyz + xz = 6 (3, 5, 6, 7) = 3 (0, 1, 2, 4) (b) (A' + B)(B' + C) = A'B' + A'C + BC = 6 (0, 1, 3, 7) = 3 (2, 4, 5, 6) (c) y'z + wxy' + wxz' + w'x'z = 6 (1, 3, 5, 9, 12, 13, 14) = 3 (0, 2, 4, 6, 7, 8, 10, 11, 15) (d) (xy + yz' + x'z)(x + z) = xy + xyz' + xyz + x'z = 6 (1, 3, 9, 11, 14, 15) = 3 (0, 2, 4, 5, 6, 7, 8, 10, 12, 13) Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com 2.18 (a) wx y z F 00 0 0 00 0 1 00 1 0 00 1 1 01 0 0 01 0 1 01 1 0 01 1 1 10 0 0 10 0 1 10 1 0 10 1 1 11 0 0 11 0 1 11 1 0 11 1 1 0 1 0 0 0 1 1 1 0 1 1 1 0 1 1 1 F = xy'z + x'y'z + w'xy + wx'y + wxy F = 6(1, 5, 6, 7, 9, 10 11, 13, 14, 15 ) (b) x y' z x' y' z w' x y w x' y w x y 5 - Three-input AND gates 2 - Three-input OR gates Alternative: 1 - Five-input OR gate 4 - Inverters F (c) F = xy'z + x'y'z + w'xy + wx'y + wxy = y'z + xy + wy = ycz + y(w + x) (d) F = y'z + yw + yx) = 6(1, 5, 9, 13 , 10, 11, 13, 15, 6, 7, 14, 15) = 6(1, 5, 6, 7, 9, 10, 11, 13, 14, 15) (e) y' z x w y F 1 – Inverter, 2 – Two-input AND gates, 2 – Two-input OR gates Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 18 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com 2.19 F = B'D + A'D + BD ABCD ABCD ABCD -B'-D 0001 = 1 0011 = 3 1001 = 9 1011 = 11 A'--D 0001 = 1 0011 = 3 0101 = 5 0111 = 7 -B-D 0101 = 5 0111 = 7 1101 = 13 1111 = 15 F = 6(1, 3, 5, 7, 9, 11,13, 15) = 3(0, 2, 4, 6, 8, 10, 12, 14) 2.20 (a) F(A, B, C, D) = 6(3, 5, 9, 11, 15) F'(A, B, C, D) = 6(0, 1, 2, 4, 6, 7, 8, 10, 12, 13, 14) (b) F(x, y, z) = 3(2, 4, 5, 7) F' = 6(2, 4, 5, 7) 2.21 (a) F(x, y, z) = 6(2, 5, 6) = 3(0, 1, 3, 4, 7) (b) F(A, B, C, D) = 3(0, 1, 2, 4, 7, 9, 12) = 6(3, 5, 6, 8, 10, 11, 13, 14, 15) 2.22 (a) (AB + C)(B + C'D) = AB + BC + ABC'D + CC'D = AB(1 + C'D) + BC = AB + BC (SOP form) = B(A + C) (POS form) (b) x' + x(x + y')(y + z') = (x' + x)[x' + (x + y')(y + z')] = = (x' + x + y')(x' + y + z') = xc + y + zc  2.23 (a) B'C +AB + ACD A B C D F Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 19 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 20 www.Mohandesyar.com (b) (A + B)(C + D)(A' + B + D) A B C D F (c) (AB + A'B')(CD' + C'D) A B C D F (d) A + CD + (A + D')(C' + D) A B C D F 2.24 x † y = x'y + xy' and (x † y)' = (x + y')(x' + y) Dual of x'y + xy' = (x' + y)(x + y') = (x † y)' 2.25 (a) x| y = xy' z y | x = x'y (x | y) | z = xy'z' z x | (y | z) = x(yz')' = xy' + xz Not commutative Not associative Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com (b) (x † y) = xy' + x'y = y † x = yx' + y'x (x † y) † z = ¦(1, 2, 4, 7) = x † (y † z) Commutative Associative 2.26 NAND (Positive logic) Gate xy z xy z xy z LL LH HL HH H H H L 00 01 10 11 1 1 1 0 11 10 01 00 0 0 0 1 NOR (Positive logic) Gate 2.27 NOR (Negative logic) NAND (Negative logic) xy z xy z xy z LL LH HL HH H L L L 00 01 10 11 1 0 0 0 11 10 01 00 0 1 1 1 f1 = a'b'c + a'bc + abc' + abc f2 = a'bc' + a'bc + ab'c' + ab'c + abc' a' b' c' a' b c a b c' a b c a' b c' a b' c' a b' c f1 f2 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 21 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 22 www.Mohandesyar.com 2.28 (a) y = a(bcd)'e = a(b' + c' + d')e y = a(b' + c' + d')e = ab’e + ac’e + ad’e = 6( 17, 19, 21, 23, 25, 27, 29) a bcde y a bcde y 0 0000 0 0001 0 0010 0 0011 0 0100 0 0101 0 0110 0 0111 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0000 1 0001 1 0010 1 0011 1 0100 1 0101 1 0110 1 0111 0 1 0 1 0 1 0 1 0 0 1 0 1 0 1 0 0 0 1000 0 1001 0 1010 0 1011 0 1100 0 1101 0 1110 0 1111 1 1000 1 1001 1 1010 1 1011 1 1100 1 1101 1 1110 1 1111 (b) y1 = a † (c + d + e)= a'(c + d +e) + a(c'd'e') = a'c + a'd + a'e + ac'd'e' y2 = b'(c + d + e)f = b'cf + b'df + b'ef y1 = a (c + d + e) = a'(c + d +e) + a(c'd'e') = a'c + a'd + a'e + ac'd'e' y2 = b'(c + d + e)f = b'cf + b'df + b'ef a'-c--001000 = 8 001001 = 9 001010 = 10 001011 = 11 a'--d-000100 = 8 000101 = 9 000110 = 10 000111 = 11 a'---e000010 = 2 000011 = 3 000110 = 6 000111 = 7 001100 = 12 001101 = 13 001110 = 14 001111 = 15 001100 = 12 001101 = 13 001110 = 14 001111 = 15 001010 = 10 001011 = 11 001110 = 14 001111 = 15 011000 = 24 011001 = 25 011010 = 26 011011 = 27 010100 = 20 010101 = 21 010110 = 22 010111 = 23 010010 = 18 010011 = 19 010110 = 22 010111 = 23 011100 = 28 011101 = 29 011110 = 30 011111 = 31 011100 = 28 011101 = 29 011110 = 30 011111 = 31 011010 = 26 011001 = 27 011110 = 30 011111 = 31 a-c'd'e'100000 = 32 100001 = 33 110000 = 34 110001 = 35 -b' c--f -b' -d-f -b' --ef 001001 = 9 001011 = 11 001101 = 13 001111 = 15 101001 = 41 101011 = 43 101101 = 45 101111 = 47 001001 = 9 001011 = 11 001101 = 13 001111 = 15 101001 = 41 101011 = 43 101101 = 45 101111 = 47 000011 = 3 000111 = 7 001011 = 11 001111 = 15 100011 = 35 100111 = 39 101011 = 51 101111 = 55 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 23 www.Mohandesyar.com y1 = 6 (2, 3, 6, 7, 8, 9, 10 ,11, 12, 13, 14, 15, 18, 19, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ) y2 = 6 (3, 7, 9, 13, 15, 35, 39, 41, 43, 45, 47, 51, 55) ab cdef y1 y 2 ab cdef y1 y2 ab cdef y1 y2 ab cdef y 1 y2 00 0000 00 0001 00 0010 00 0011 00 0100 00 0101 00 0110 00 0111 0 0 1 1 0 0 1 1 0 0 0 1 0 0 0 1 01 0000 01 0001 01 0010 01 0011 01 0100 01 0101 01 0110 01 0111 0 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 10 0000 10 0001 10 0010 10 0011 10 0100 10 0101 10 0110 10 0111 1 1 1 1 0 0 0 0 0 0 0 1 0 0 0 1 11 0000 11 0001 11 0010 11 0011 11 0100 11 0101 11 0110 11 0111 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 00 1000 00 1001 00 1010 00 1011 00 1100 00 1101 00 1110 00 1111 1 1 1 1 1 1 1 1 0 1 0 0 0 1 0 1 01 1000 01 1001 01 1010 01 1011 01 1100 01 1101 01 1110 01 1111 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 10 1000 10 1001 10 1010 10 1011 10 1100 10 1101 10 1110 10 1111 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 1 11 1000 11 1001 11 1010 11 1011 11 1100 11 1101 11 1110 11 1111 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 24 www.Mohandesyar.com Chapter 3 3.1  y yz x 00 m0 0 11 m5 m7 00 01 x 1 0 1 m4 1 1 m5 m7 m6 z F = z' + x'y y 00 01 11 m1 m2 1 m5 1 z F = x' + yz 10 m3 0 x 1 1 m4 m6 1 (c) m5 m0 1 m7 1 1 yz m2 10 m2 1 x 10 m3 11 m3 1 (b) 11 m1 m1 m4 1 y m0 x 0 z F = xy + x’z' yz 01 m0 m6 1 (a) 00 m2 1 1 x 10 m3 1 m4 x 01 m1 y yz x m7 m6 1 1 1 z F = xy + xz + yz (d) 3.2 y yz x 00 m0 0 1 m4 x 01 11 m1 m7 1 m6 0 01 1 m4 11 m1 m3 10 m5 m7 m6 00 x 1 01 11 m1 1 m4 1 1 y m3 1 10 m2 1 m7 m5 1 m6 1 z z (c) m7 z F = y + x'z 0 m6 1 1 1 m0 m2 1 1 m5 1 yz x 10 m2 1 (b) y 00 11 m3 1 z F = x'y' + xz m0 01 m1 m4 x 1 yz x m0 0 m5 (a) 00 m2 1 1 x 10 m3 y yz x F = x'y' + xy (d) F = y' + x'z Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 25 www.Mohandesyar.com y yz x 00 m0 01 m7 x 1 m5 1 1 10 m2 m7 m6 1 1 1 z z F=z (e) 11 m3 1 m4 m6 1 01 m1 0 1 m5 1 00 m0 m2 1 m4 10 m3 0 x 11 m1 y yz x F = x + y' z (f) 3.3 y yz x 00 m0 0 m1 m5 m7 00 0 11 m3 1 m4 (c) 01 m1 m7 1 10 m6 1 z F = x'y' + yz + x'yz' F = x' + yz y 00 m0 m2 1 z F = x'y + yz' + y'z' F = = x' y + z' x 11 m3 10 m2 1 m4 m6 01 m1 0 1 1 m7 yz 1 m5 1 1 m5 (b) x 10 m2 1 y m0 1 x 11 m3 1 m4 1 01 m1 0 z F =xy + x'y'z' + x'yz' F = xy + x' z' yz x m0 m6 1 (a) 00 m2 1 1 x x 10 m3 y yz 11 1 m4 x 01 1 (d) m5 m7 m6 1 1 z F = xyz + x'y'z + xyz' F = x'y'z + xy Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 26 www.Mohandesyar.com 3.4 C CD AB 00 01 m0 11 m1 m3 m5 m7 10 m2 00 x 00 0 x 1 m4 y yz 01 11 1 01 m1 m3 1 m2 1 m4 m5 m7 1 m6 1 m12 m13 A m8 m9 m0 m1 11 m4 m5 m6 m13 m8 m9 B m14 1 m5 m12 11 1 m11 10 w m10 m7 m13 1 m8 m15 m9 yz m0 m1 00 m4 m3 m5 1 m11 m12 m13 m0 m6 1 m15 m14 m9 01 m1 1 m4 1 x 11 10 m3 m2 m7 m6 1 m5 1 01 m12 m13 m15 m14 m8 m9 m11 m10 x 11 1 m8 m10 y 00 m2 m7 m11 10 (e) yz wx 10 00 1 11 w 11 1 01 x 1 F = w'x'y +wx (d) y 01 m14 1 z F =CD + ABD + ABC 00 m6 1 D wx 1 10 1 (c) m2 01 m15 1 10 m3 1 m4 1 m12 m1 11 00 m7 11 01 m0 1 01 y 00 m2 00 A yz wx 10 m3 m10 D F = BCD + A' BD' (b) 01 m11 10 C 00 B m14 1 z CD m15 11 F=y AB 1 10 m0 (a) m6 1 m10 w 10 z F = w'x + w'y'z (f) 1 1 z F = x'y' + w'y'z Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 27 www.Mohandesyar.com 3.5  y yz wx 00 m0 01 m1 00 10 m4 m5 m0 m12 11 m6 1 m15 1 m8 m9 A m10 z F =xz' + w'y'z+ wxy 00 m0 01 m1 00 1 m4 1 m9 m12 10 m7 m13 m15 x 01 m1 m4 m14 m9 10 1 m11 m5 1 m12 A m10 z F =w'y' + wx' y' + w'xy (c) 10 m2 m7 1 m13 m8 m9 m6 1 1 m15 1 10 1 11 m3 1 11 m8 1 1 01 1 m10 1 C m0 m6 1 B 1 D F = A'C + A' C'D + B'C'D 00 m2 m14 m11 1 00 1 m15 CD 11 w m8 AB 1 m5 01 11 m3 m6 1 (b) y yz wx m13 10 (a) m7 1 m12 10 10 m2 11 1 m11 m5 01 x m14 1 11 m3 1 m4 1 m13 01 m1 00 m7 1 00 m2 1 01 w 11 m3 C CD AB m14 B 1 m11 m10 1 1 D F =BD + A'B + B' D' or = BD + B'D' + A'D' (d) 3.6 CD AB m0 00 01 m1 m4 m6 m4 B m10 m12 1 D F = B' D' +A'BD + ABC' w m13 1 m8 10 m2 1 m7 m6 m15 m14 m11 m10 1 x 1 m9 1 10 (b) m3 m5 1 11 m11 m1 11 1 01 m14 01 00 1 m9 1 m0 1 m15 y 00 m2 m7 m13 1 m8 wx 10 1 m5 m12 (a) m3 1 11 10 11 1 01 A yz C 00 1 1 z F = xy' +x'z + wx'y Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 28 www.Mohandesyar.com CD 00 01 m0 00 11 m1 m4 m5 m12 m15 1 00 1 01 m8 m9 m11 m5 m13 m8 m9 m14 m11 B m10 1 1 D F = A'B'D' + BC'D + ACD' + AB'C (d) F = B'D' + BCD + A'BD + A'BC m15 1 10 D (c) m6 1 A 1 m7 1 m12 m10 m2 1 11 1 10 10 m3 1 B m14 11 m1 m4 1 11 01 m0 m6 1 m13 00 m2 m7 1 C AB 10 m3 1 01 A CD C AB 3.7 y yz wx 00 m0 01 m1 00 m4 m5 m12 w m6 m15 m8 m9 (a) z F = z + x'y 00 00 01 m1 m4 m5 m13 11 m9 1 10 1 m15 m11 1 m7 m6 m15 m14 m11 m10 1 1 01 m1 11 m3 00 m5 m7 11 m10 w 10 D F = B'D' + AC + A'BD + CD (or B'C) (d) m13 1 m8 1 1 m6 1 m12 1 10 m2 1 m4 B 1 y 01 m14 B D F = C'D + B'C + ABC' 00 m6 1 1 m9 m0 1 1 m8 wx m2 m7 1 m12 (c) 11 1 yz 1 01 m8 (b) m3 1 m13 1 10 C m0 10 1 m2 1 m12 A m10 1 CD A x 10 m3 m5 11 m11 1 AB m14 11 1 m4 1 10 m1 01 1 1 01 00 1 m7 m13 m0 1 1 11 00 m2 1 01 AB 10 m3 C CD 11 1 m9 m15 m14 1 m11 x 1 m10 1 1 z F = wx + x'y + yz Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com 3.8 (a) F(x, y, z) = 6(3, 5, 6, 7) y yz x 00 m0 01 11 m1 m3 0 1 m4 x 1 10 m2 m5 m7 1 m6 1 1 z (b) F = 6(1, 3, 5, 9, 12, 13, 14) C CD AB 00 m0 01 m1 00 1 m4 m5 01 10 m2 1 m7 m6 m15 m14 1 m12 11 A 11 m3 m13 1 m8 1 m9 10 B 1 m11 m10 1 D (c) F = 6(0, 1, 2, 3, 11, 12, 14, 15) y wx 00 m0 00 01 m1 1 m4 11 m3 1 10 m2 1 m5 m7 m13 m15 1 m6 01 m12 11 w 1 m8 1 m9 m11 10 m14 x 1 m10 1 z Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 29 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 30 www.Mohandesyar.com F= 6(3, 4, 5, 7, 11, 12) (d) CD AB C 00 m0 01 m1 11 m3 00 1 m4 m5 1 01 m7 1 m12 m6 1 m13 m15 m14 m9 m11 m10 B 1 11 A 10 m2 m8 1 10 D 3.9 (a) (b) yz wx 00 01 m0 00 11 m1 m4 m5 1 m12 m15 1 11 m8 1 00 1 01 x m9 m11 m10 m5 1 m7 1 m6 1 m13 m15 m9 1 m11 1 10 m10 1 z B m14 1 m8 1 m2 1 11 A 10 m3 1 m12 1 11 m1 m4 m14 1 10 01 m0 m6 1 m13 00 m2 m7 1 C AB 10 m3 1 01 w CD y 1 D Essential: xz, x'z' Non-essential: w'x, w'z' F = xz + x'z' + (w'x or w'z') Essential: B'D', AC, A'BD Non-essential: CD, B'C F = B'D' + AC + A'BD + (CD OR B'C) (c) (d) CD AB 00 m0 01 m1 00 11 m3 1 m4 m5 1 01 m12 m8 wx 10 m0 1 m7 m13 01 m1 00 m6 11 m3 1 m4 m5 m15 1 m11 1 10 m14 B m7 1 m10 1 m13 1 11 w m6 1 m12 m8 10 m15 1 m9 1 10 m2 1 01 1 m9 y 00 m2 1 1 11 A yz C 1 m11 1 m14 1 m10 1 z D Essential: BC', AC, A'B'D F = BC' + AC + A'B'D Essential: wy', xy, w'x'z F = wy' + xy + w'x'z Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com x © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 31 www.Mohandesyar.com (e) (f) CD yz C AB 00 01 m0 00 11 m1 1 m4 m5 m12 m8 m6 m9 11 B m14 m7 m10 1 m13 1 m8 10 1 m6 1 11 m11 m2 1 m5 m12 w 10 m3 1 m4 1 1 01 m1 01 m15 1 10 00 1 m13 11 m0 1 m7 1 00 m2 1 01 A 10 m3 y wx m15 1 m9 1 x m14 1 1 m11 m10 1 1 z D Essential: BD, B'C, B'C'D' F = BD + B'C + B'C'D' Essential: wy', wx, x'z', xyz F = wy' + wx + x'z' + xyz 3.10 (a) (b) yz wx 00 m0 00 01 m1 m4 m5 1 m12 m13 10 m15 m8 m9 00 1 00 1 01 m14 x m11 11 m3 1 m5 m7 1 m12 m10 A m13 1 m6 1 m15 m14 1 m8 10 10 m2 1 11 1 m9 m11 1 z Essential: xz, w'x, x'z' F = xz + w'x + x'z' 01 m1 m4 1 1 C m0 m6 1 1 AB m2 m7 1 11 10 11 m3 1 01 w CD y 1 m10 1 1 D Essential: AC, B'D', CD, A'BD F = AC + B'D' + CD + A'BD Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com B © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 32 www.Mohandesyar.com (c) (d) yz C CD AB 00 01 m0 11 m1 00 1 m4 01 11 m13 1 A m6 m15 m14 m8 1 m9 m5 m7 m12 m13 1 11 w x m14 1 m9 1 1 m15 1 m8 10 1 m6 1 B m10 1 10 m2 1 01 1 m11 10 11 m3 1 m4 m7 1 01 m1 00 1 m12 00 m0 m2 1 m5 1 10 m3 y wx 1 m11 m10 1 z D Essential: wy', xy Non-essential: wx, x'y'z, w'wz, w'x'z F = wy' + xy + w'x'z Essential: BC', AC Non-essential: AB, AcBcD, BcCD, AcCcD F = BCc + AC + AcBcD (e) (f) 00 m0 01 m1 11 m3 00 m4 m5 m12 m13 10 m8 m9 00 1 m11 m14 m10 1 11 m3 B 1 1 m5 m7 m12 m13 1 m8 10 m6 1 11 w 10 m2 1 01 1 1 01 m1 m4 m6 m15 y 00 m0 1 1 11 10 m7 1 wx m2 1 01 A yz C CD AB m9 1 m15 1 m14 1 m11 1 m10 1 1 z D Essential: BD, B'C, AB'C Non-essential: CD F = BD + B'C + AB'C Essential: wy', wx, xyz, x'yz' F = wy' + wx + xyz + x=yz' Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com x © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 33 www.Mohandesyar.com 3.11 (a) F(A, B, C, D, E) = ¦ (0, 1, 4, 5, 16, 17, 21, 25, 29 F = AcBcDc + ADcE + BcCcDc A'B'C'D'E' A'B'C'D'E A'B'CD'E' A'B'CD'E AB'C'D'E' AB'C'D'E AB'CD'E ABC'D'E ABCD'E m 0: m 1: m 4: m 5: m16: m17: m21: m25: m29: = 00000 = 00001 = 00100 = 00101 = 10000 = 10001 = 10101 = 11001 = 11101 A=0 D DE BC AB'D' 00 01 1 00 1 01 11 10 1 1 C 11 B 10 B'C'D' E A=1 D DE BC AD'E 00 00 1 01 11 1 1 01 1 11 10 C B 10 1 E Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 34 www.Mohandesyar.com (b) F(A, B, C, D, E) = A'B'CE' + B'C'D'E' + A'B'D' + B'CD' + A'CD + A'BD F(A, B, C, D, E) = A'B'D' + B'D'E' + B'CD' + A'CD + A'BD A'B'CE': B'C'D'E': A'B'D': B'CD': A'CD: A'BD: AB'CDE' + A'B'CD'E' AB'C'D'E' + A'B'C'D'E' A'B'CD'E + A'B'CD'E' + A'B'C'D'E + A'B'C'D'E' AB'CD'E + AB'CD'E' + A'B'CD'E + A'B'CD'E' A'BCDE + A'BCDE' + A'B'CDE + A'B'CDE' A'BCDE + A'BCDE' + A'BC'DE + A'BC'DE' A'B'D' A=0 D B'D'E' DE BC B'CD' 00 01 1 00 01 1 1 1 1 1 1 11 10 A'CD 1 C 11 B 1 10 1 A'BD E A=1 D DE BC 00 01 1 00 01 11 10 1 1 C 11 B 10 E Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 35 www.Mohandesyar.com 3.12 (a) y yz wx 00 m0 00 01 m1 1 m4 m5 wx 10 m0 1 m7 m12 m13 m6 m4 m14 x m8 m9 m11 w m10 1 m5 10 m2 m7 m8 m6 0 m13 m15 0 11 1 m3 0 m12 1 10 m1 11 0 01 m15 01 00 1 11 00 m2 1 01 w m3 y yz 11 0 m9 m11 0 10 z 0 m14 x 0 m10 0 z F =6(0, 1, 2, 5, 8, 10, 13) F = x'z' + w'x'y' + w'y'z F' = yz + xz' + xy + wx'z F = (y' + z')(x' + z)(x' + y')(w' + x + z') (b) CD AB 00 m0 01 m1 00 11 m4 m5 m7 m13 11 m9 m6 0 m15 0 m8 m2 0 0 m12 10 m3 0 01 A F = 3(1, 3, 5, 7, 13, 15) F' = A'D + B'D F = (A + Dc)(Bc + Dc) F = C'D' + AB' + CD' C m14 B 0 m11 m10 10 D (c) CD AB C 00 m0 01 m1 00 11 m3 0 m4 m5 0 m7 m6 01 0 m12 11 A m13 m15 0 m8 10 F = 3(1, 3, 6, 9, 11, 12, 14) F' = B'D + BCD' + ABD' F = (B + D')(B' + C' + D)(A' + B' + D) F = BD + B'D' + A'C'D' 10 m2 m14 B 0 m9 m11 0 m10 0 D Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 36 www.Mohandesyar.com 3.13 (a) F = xy + zc = (x + zc)(y + zc) (b) CD AB m0 00 01 m1 0 m4 01 m13 1 m8 m9 m15 0 00 0 01 B m11 1 m8 m2 0 0 m7 1 m13 m9 m6 0 0 m15 1 1 10 m3 m5 1 10 11 1 0 m12 A m10 1 0 11 0 01 m1 m4 m14 1 1 m0 m6 0 1 1 10 m7 C 00 m2 0 1 AB 10 m3 m5 m12 11 1 0 11 A CD C 00 m14 1 m11 1 D B 0 m10 1 1 D F = AC' + AD + C'D + AB'C F' A'D' + A'C + BCD' F = (A + D)(A + C')(B' + C' + D) (c) CD AB 00 m0 01 m1 11 m3 00 m4 10 m5 m7 m13 m0 00 m6 11 m15 0 m8 m9 m4 m14 B 11 m11 0 m10 0 m5 10 m2 1 m7 1 m13 m6 1 m15 1 m8 10 11 m3 1 1 m12 A 01 m1 1 01 0 0 C 00 m2 0 m12 10 AB 0 01 A CD C m14 B 1 m9 m11 m10 1 D D F = (A + C' + D')(A' + B' + D')(A' + B + D')(A' + B + C') F' = A'CD + ABD + AB'D + AB'C F = A'C + A'D' + BD' + C'D' F' = AD + CD +AB'C F = (A' + D')(C + D')(A' + B + C') Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 37 www.Mohandesyar.com (d) CD CD C AB 00 m0 01 m1 11 10 m3 00 m2 m0 00 00 m4 m5 m7 01 m6 m4 11 m13 1 m15 1 m8 m14 10 m2 0 m5 0 m7 m6 0 0 m13 m15 m14 11 m11 1 11 m3 0 0 m12 1 m9 10 B 01 m1 0 01 1 m12 A C AB A m10 1 B 0 m8 m9 m11 m10 0 10 0 D D F =ABC' + AB'D + BCD F = AD + ABC' + BCD F' = A'C' + A'B' + CD' + B'C'D' F = (A + C)(A + B)(C' + D)(B + C + D) 3.14 CD AB m0 00 01 m1 m4 m3 AB 10 m5 m2 m7 1 m12 m0 m13 m6 01 m1 m4 m15 m14 B m9 m11 m10 1 m5 m12 A m3 10 m2 0 m7 0 m6 0 11 1 11 0 01 1 1 m8 C 00 00 11 10 11 1 01 A CD C 00 0 m13 m15 0 m8 10 m14 0 m9 m11 0 D B 0 m10 0 D SOP form (using 1s): F = B'C'D' + AB'D' + BC'D + A'BD F = B'D'(A + C') + BD(A' + C') POS form (using 0s): F' = BD' + B'D + A'CD' + ACD F = [(B' + D)(B + D')][(A + C' + D)(A' + C' + D')] Alternative POS: F' = BD' + B'D + A'CD' + A'B'C F = [(B' + D)(B + D')][(A + C' + D)(A' + B + C)] Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 38 www.Mohandesyar.com 3.15 (a) (b) C CD AB 00 m0 m1 00 x 00 m0 m1 m4 10 m5 1 m7 A 1 x m7 m13 m14 m9 B 1 m11 m10 1 10 x z D F=1 F = 6(0,1, 2, 3, 4, 5, 6, 7) F = B'D' + ABC'D F = 6(0, 2, 6, 8, 10, 13, 14) (c) (d) CD 00 m0 01 m1 11 m3 00 AB 10 m4 m5 m0 m12 11 m6 1 m13 1 m8 00 m7 1 m15 m9 B m14 x m11 x 01 m1 x 11 m3 1 10 m2 1 m4 m5 m7 m12 m13 m15 x m6 01 1 1 10 C 00 m2 x 01 A CD C AB 11 1 A m10 x m8 10 m14 B 1 m9 1 D m11 m10 x 1 D F = BC' + BD + AB F = 6(4, 5, 7, 12, 13, 14, 15) 3.16 m15 1 m8 1 m6 1 11 m6 x m2 x m12 1 10 m3 m5 01 m2 x 1 1 11 m3 x 0 x 01 11 1 m4 y yz 01 F = B'D' + A'B' + ABCD F = F = 6(0, 1, 2, 3, 8, 10, 15) (a) CD AB C 00 m0 00 01 m1 1 11 m3 1 10 F = A + A'B' F = (A'(A'B')')' m2 1 1 m4 m5 m7 m6 m12 m13 m15 m14 01 11 A 1 m8 10 1 m9 1 1 m11 1 1 B A' F 1 m10 1 A' B' D Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 39 www.Mohandesyar.com (b) C CD AB 00 01 m0 11 m1 00 F = BC + AB + A'B'C'D F = ((BC)'(AB)'(A'B'C' D)')' m2 1 m4 m5 m7 m6 1 01 m12 11 A 10 m3 m13 1 m15 1 m8 B m14 1 m9 B C 1 A B 1 m11 F m10 A' 10 B' C' D D' (c) CD C AB 00 01 m0 00 11 m1 10 m3 m2 1 1 m4 01 m5 1 m12 11 m14 1 m9 1 1 m15 1 m8 10 m6 1 m13 1 A m7 1 F 1 m11 1 B F' = A'B'D F = (A'B'D)' A' B' D m10 1 1 D (d) B BC A 00 01 m0 m1 m4 m5 11 m3 10 m2 0 A 1 m7 1 m6 1 C F = AC + AB F = ((AC)' (AB)' )' 1 A C A B F 3.17 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 40 www.Mohandesyar.com CD AB 00 m0 01 m1 00 m4 11 1 1 m7 11 m6 m8 11 10 m1 m3 m2 m4 m5 m7 m6 m12 m13 m15 m14 m8 m9 m11 m10 01 m13 m15 B m14 B 11 1 m9 10 01 m0 00 1 m12 C 00 m2 1 m5 AB 10 m3 1 01 A CD C m11 1 A m10 10 1 D D F = A'B' + C'D' + B'C' F' = BC + AC + BD F = (BC)'(AC)'(BD)' A C B D F' B C 3.18 F = (A † )B'(C † D) = (AB' + A'B)(CD' + C'D) = AB'CD' + AB'C'D + A'BCD' + A'BC'D C CD AB 00 01 m0 m1 m4 m5 11 10 m3 m2 m7 m6 A B 00 1 01 m12 m13 m15 m14 m8 m9 m11 m10 11 A 10 A' B' 1 1 1 F B C D C' D' D F = AB'CD' + AB'C'D + A'BCD' + A'BC'D and F' = A'B' + AB + C'D' + CD F = (A'B')'(AB)'(C'D')'(CD)' = (A + B)(A' + B') (C' + D')(C + D) F' = [(A + B)(A' + B')]' + [(C'+ D')(C + D)]' F = ([(A + B)(A' + B')]' + [(C'+ D')(C + D)]')' F = ([(A + B)' + (A' + B')'] + [(C'+ D')' + (C + D)'])' Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 41 www.Mohandesyar.com 3.19 (a) F = (w + zc)(xc + zc)(wc + xc + yc) yz wx y 00 m0 11 10 m3 m2 1 00 m4 m5 m12 y z 1 m7 m6 1 01 1 m13 m15 m14 m9 m11 m10 x 1 11 w 01 m1 m8 1 10 1 1 w x F w z 1 z F = y'z' + wx' + w'z' F =[(y + z)' + (w' + x)' + (w + z)'] F' =[(y + z)' + (w' + x)' + (w + z)']' (b) yz wx y 00 m0 01 m1 00 11 m3 10 m2 1 w' x 1 m4 m5 m7 m6 m12 m13 m15 m14 w x' 01 11 w 1 m8 m9 y' z' 1 m11 F x m10 y z 10 z F = 6(1, 2, 13, 14) F' = w'x + wx' + y'z' + yz = [(w +x')(w' + x)(y + z)(y' + z')]' F = (w +x')' + (w' + x)' + (y + z)' + (y' + z') (c) F = [(x + y)(x' + z)]' = (x + y)' + (x' + z)' F' = [(x + y)' + (x' + z)']' x y F' x' z 3.20 Multi-level NOR: F = (AB' + CD')E + BC(A + B) F' = [(AB' + CD')E + BC(A + B)]' F' = [ [(AB' + CD')' + E']' + [ (BC)' + (A + B)']' ]' F' = [ [((A' + B)' + (C' + D)')' + E']' + [ (B' + C')' + (A + B)']' ]' Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 42 www.Mohandesyar.com A' B C' D F E' B' C' A B Multi-level NAND: F = (AB' + CD')E + BC(A + B) F' = [ (AB' + CD')E]' [BC(A + B)]' F' = [ ( (AB')'( CD')' )'E]' [BC(A'B')']' A B' C D' E F B C A' B' 3.21 F = w(x + y + z) + xyz F' = [w(x + y + z)]'[xyz]' = [w(x'y'z')')]'(xyz)' x y z x' y' F z' w Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 43 www.Mohandesyar.com 3.22 z D C y B x w A 3.23 CD AB C 00 m0 00 m3 10 m2 x 1 m5 m7 m12 11 1 m13 1 m8 m15 m14 m11 m10 x F C' D B x m9 x A' B' m6 1 01 10 11 m1 m4 A 01 1 D F = AC' + A'D' + B'CD' F' = D + ABC F = [D + ABC]' = [D + (A' + B' + C']')]' 3.24 CD AB C 00 m0 00 m4 10 m1 m3 m2 m5 m7 m6 m13 m15 m14 1 m12 11 1 m8 10 11 1 01 A 01 m9 1 B 1 m11 1 1 m10 1 D (a) F = C'D' + AB' + AD' Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 44 www.Mohandesyar.com F' = (C'D')'(AB')'(AD')' AND-NAND: C' D' F A B' A D' (b) F' = [C'D' + AB' + AD']' AND-NOR: C' D' F’ A B' A D' (c) F = C'D' + AB' + AD' = (C + D)' + (A' + B)' + (A' + D)' F' = (C'D')'(AB')'(AD')' = (C + D)(A' + B)(A' + D) F = [ (C + D)(A' + B)(A' + D) ]' OR-NAND: C D F A' B A' D (d) F = C'D' + AB' + AD' = (C + D)' + (A' + B)' + (A' + D)' NOR-OR: C D F A' B A' D 3.25 A B ABCD C D A B A+B+C+D C D AND-AND AND OR-OR OR Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 45 www.Mohandesyar.com A B A B (AB CD)' (A + B + C + D)' C D C D AND-NAND NAND OR-NOR A B A B (A'B'C'D')' C D [(AB)' + (C' D')]' C D A+B+C+D NOR-NAND NOR OR ABCD NAND-NOR AND A'B' A B A B A'B'C'D' C D NOR-AND A' + B' + C' + D' (A + B + C + D)' C D C'D' (A + B + C + D)' NAND-OR NOR NAND The degenerate forms use 2-input gates to implement the functionality of 4-input gates. 3.26 g = (a + b +c' + d')(b' + c' + d)(a'+ c + d') g' = a'b'cd + bcd' + ac'd c cd ab 00 01 11 10 f = abc' + c'd + a'cd'+ b'cd' c cd ab 00 m0 01 m1 00 m4 m5 m7 1 m12 m13 1 11 m8 10 10 m2 1 01 a 11 m3 m15 m0 1 00 1 01 m6 m4 m14 b m11 1 m5 m10 m8 1 10 m7 m13 m15 m11 d 0 m14 1 0 1 m6 1 0 m9 1 m2 0 1 1 11 a m3 1 1 m12 1 m9 m1 1 b 0 m10 1 1 d fg = ac'd + abc'd + b'cd' 3.27 x† y = x'y + xy'; Dual = (x' + y)(x + y') = (x† y)' 3.28 x y x y C P z P z (a) 3-bit odd parity generator (b) 4-bit odd parity generator Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 46 www.Mohandesyar.com 3.29 D=A† B†C E = A'BC + AB'C = (A † B)C F = ABC' + (A' + B')C = ABC' + (AB)'C = (AB) † C G = ABC A A Half-Adder B B S C C S D=A B C C E = (A B)C S F = (AB) C G = ABC Half-Adder C Half-Adder AB 3.30 F = AB'CD' + A'BCD' + AB'C'D + A'BC'D F = (A † B)CD' + (A † B) C'D = (A † B)(C † D) A B F C D 3.31 Note: It is assumed that a complemented input is generated by another circuit that is not part of the circuit that is to be described. (a) module Fig_3_22a_gates (F, A, B, C, C_bar, D); output F; input A, B, C, C_bar, D; wire w1, w2, w3, w4; and (w1, C, D); or (w2, w1, B); and (w3, w2, A); and (w4, B, C_bar); or (F, w3, w4); endmodule (b) module Fig_3_22b_gates (F, A, B, C, C_bar, D); output F; input A, B, C, C_bar, D; wire w1, w2, w3, w4; not (w1_bar, w1); not (B_bar, B); not (w3_bar, w3); not (w4_bar, w4); nand (w1, C, D); or (w2, w1_bar, B_bar); nand (w3, w2, A); nand (w4, B, C_bar); or (F, w3_bar, w4_bar); endmodule Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com (c) module Fig_3_23a_gates (F, A, A_bar, B, B_bar, C, D_bar); output F; input A, A_bar, B, B_bar, C, D_bar; wire w1, w2, w3, w4; and (w1, A, B_bar); and (w2, A_bar, B); or (w3, w1, w2); or (w4, C, D_bar); or (F, w3, w4); endmodule (d) module Fig_3_23b_gates (F, A, A_bar, B, B_bar, C_bar, D); output F; input A, A_bar, B, B_bar, C_bar, D; wire w1, w2, w3, w4; nand (w1, A, B_bar); nand (w2, A_bar, B); not (w1_bar, w1); not (w2_bar, w2); or (w3, w1_bar, w2_bar); or (w4, C, D_bar); not (w5, C_bar); not (w6, D); nand (F_bar, w5, w6); not (F, F_bar); endmodule (e) module Fig_3_26_gates (F, A, B, C, D, E_bar); output F; input A, B, C, D, E_bar; wire w1, w2, w1_bar, w2_bar, w3_bar; not (w1_bar, w1); not (w2_bar, w2); not (w3_bar, E_bar); nor (w1, A, B); nor (w2, C, D); nand (F, w1_bar, w2_bar, w3_bar); endmodule (f) module Fig_3_27_gates (F, A, A_bar, B, B_bar, C, D_bar); output F; input A, A_bar, B, B_bar, C, D_bar wire w1, w2, w3, w4, w5, w6, w7, w8, w7_bar, w8_bar; not (w1, A_bar); not (w2, B_bar); not (w3, A); not (w4, B_bar); not (w7_bar, w7); not (w8_bar, w8); and (w5 w1, w2); and (w6, w3, w4); nor (w7, w5, w6); nor (w8, C, D_bar); and (F, w7_bar, w8_bar); endmodule Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 47 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com 3.32 Note: It is assumed that a complemented input is generated by another circuit that is not part of the circuit that is to be described. (a) module Fig_3_22a_CA (F, A, B, C, C_bar, D); output F; input A, B, C, C_bar, D; wire w1, w2, w3, w4; assign w1 = C & D; assign w2 = w1| B; assign w3 = w2 & A); assign w4 = B & C_bar); assign F = w3 | w4); endmodule (b) module Fig_3_22b_CA (F, A, B, C, C_bar, D); output F; input A, B, C, C_bar, D; wire w1, w2, w3, w4; assign w1_bar = ~w1; assign B_bar = ~B; assign w3_bar = ~w3; assign w4_bar = ~w4; assign w1 = ~(C & D); assign w2 = w1_bar | B_bar; assign w3 = ~(w2 & A); assign w4 = ~(B & C_bar); assign F = w3_bar | w4_bar; endmodule (c) module Fig_3_23a_CA (F, A, A_bar, B, B_bar, C, D_bar); output F; input A, A_bar, B, B_bar, C, D_bar; wire w1, w2, w3, w4; assign w1 = A & B_bar; assign w2 = A_bar & B; assign w3 = w1 | w2); assign w4 = C | D_bar; assign F = w3 | w4; endmodule (d) module Fig_3_23b_CA (F, A, A_bar, B, B_bar, C_bar, D); output F; input A, A_bar, B, B_bar, C_bar, D; wire w1, w2, w3, w4; assign w1 = ~(A & B_bar); assign w2 = ~(A_bar & B); assign w1_bar = ~w1; assign w2_bar = ~w2; assign w3 = w1_bar | w2_bar; assign w4, C | D_bar; assign w5 = ~C_bar; assign w6 = ~D; assign F_bar = ~(w5 & w6); assign F = ~F_bar; endmodule Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 48 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com 3.32 (e) module Fig_3_26_CA (F, A, B, C, D, E_bar); output F; input A, B, C, D, E_bar; wire w1, w2, w1_bar, w2_bar, w3_bar; not w1_bar = ~w1; not w2_bar = ~w2; not w3_bar = ~E_bar; nor w1 = (A | B; nor w2 = (C | D; nand F = ~( w1_bar & w2_bar & w3_bar); endmodule (f) module Fig_3_27_CA (F, A, A_bar, B, B_bar, C, D_bar); output F; input A, A_bar, B, B_bar, C, D_bar wire w1, w2, w3, w4, w5, w6, w7, w8, w7_bar, w8_bar; not w1 = ~A_bar; not w2 = ~B_bar; not w3 = ~A; not w4 = ~B_bar; not w7_bar = ~w7; not w8_bar = ~w8; assign w5 = w1 & w2; assign w6 = w3 & w4; assign w7 = ~(w5 | w6); assign w8 = ~(C | D_bar); assign F = w7_bar & w8_bar; endmodule 49 (a) w3 x w1 F=x y w y w4 Initially, with xy = 00, w1 = w2 = 1, w3 = w4 = 0 and F = 0. w1 should change to 0 4ns after xy changes to 01. w4 should change to 1 8 ns after xy changes to 01. F should change from 0 to 1 10 ns after w4 changes from 0 to 1, i.e., 18 ns after xy changes from 00 to 01. (b) `timescale 1ns/1ps module Prob_3_33 (output F, input x, y); wire w1, w2, w3, w4; and #8 (w3, x, w1); not #4 (w1, x); and #8 (w4, y, w1); not #4 (w2, y); or #10 (F, w3, w4); endmodule module t_Prob_3_33 (); reg x, y; wire F; Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 50 www.Mohandesyar.com Prob_3_33 M0 (F, x, y); initial #200 $finish; initial fork x = 0; y = 0; #20 y = 1; join endmodule (c) To simulate the circuit, it is assumed that the inputs xy = 00 have been applied sufficiently long for the circuit to be stable before xy = 01 is applied. The testbench sets xy = 00 at t = 0 ns, and xy = 1 at t = 10 ns. The simulator assumes that xy = 00 has been applied long enough for the circuit to be in a stable state at t = 0 ns, and shows F = 0 as the value of the output at t = 0. The waveforms show the response to xy = 01 applied at t = 10 ns. Name 0.000ns 39.290ns 78.580ns 117.870ns x w1 y w2 w3 w4 F t = 28 ns t = 18 ns Note: input change occurs at t = 10 ns. t = 14 ns t = 10 ns ' = 18 ns 3.34 module Prob_3_34 (Out_1, Out_2, Out_3, A, B, C, D); output Out_1, Out_2, Out_3; input A, B, C, D; wire A_bar, B_bar, C_bar, D_bar; assign A_bar = ~A; assign B_Bar = ~B; assign C_bar = ~C; assign D_bar = ~D; assign Out_1 = ~( (C | B) & (A_bar | D) & B ); assign Out_2 = ((C * B_bar) | (A & B & C) | (C_bar & B) ) & (A | D_bar); assign Out_3 = C & ( (A & D) | B ) | (C & A_bar); endmodule 3.35 module Exmpl-3(A, B, C, D, F) inputs A, B, C, Output D, F, output B and g1(A, B, B); not (D, B, A), OR (F, B; C); // Line 1 // Line 2 // Line 3 // Line 4 // Line 5 // Line 6 Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 51 www.Mohandesyar.com endofmodule; // Line 7 Line 1: Dash not allowed, use underscore: Exmpl_3. Terminate line with semicolon (;). Line 2: inputs should be input (no s at the end). Change last comma (,) to semicolon (;). Output is declared but does not appear in the port list, and should be followed by a comma if it is intended to be in the list of inputs. If Output is a mispelling of output and is to declare output ports, C should be followed by a semicolon (;) and F should be followed by a semicolon (;). Line 3: B cannot be declared as input (Line 2) and output (Line 3). Terminate the line with a semicolon (;). Line 4: A cannot be an output of the primitive if it is an input to the module Line 5: Too many entries for the not gate (only two allowed). Line 6: OR must be in lowercase: change to “or”. Line 7: endmodule is mispelled. Remove semicolon (no semicolon after endmodule). 3.36 (a) B C D x d z a A F w y (b) A1 A0 B1 B0 w1 w6 w2 w7 w3 A_lt_B A_gt_B w4 A_eq_B w5 (c) Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com a b y1 y2 3.37 UDP_Majority_4 (y, a, b, c, d); output y; input a, b, c, d; table // a b c d : y 0 0 0 0 : 0; 0 0 0 1 : 0; 0 0 1 0 : 0; 0 0 1 1 : 0; 0 1 0 0 : 0; 0 1 0 1 : 0; 0 1 1 0 : 0; 0 1 1 1 : 1; 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 endtable endprimitive : : : : : : : : 0; 0; 0; 0; 0; 0; 1; 1; 3.38 module t_Circuit_with_UDP_02467; wire E, F; reg A, B, C, D; Circuit_with_UDP_02467 m0 (E, F, A, B, C, D); initial #100 $finish; initial fork A = 0; B = 0; C = 0; D = 0; #40 A = 1; #20 B = 1; #40 B = 0; #60 B = 1; #10 C = 1; #20 C = 0; #30 C = 1; #40 C = 0; #50 C = 1; #60 C = 0; #70 C = 1; #20 D = 1; join endmodule // Verilog model: User-defined Primitive primitive UDP_02467 (D, A, B, C); output D; input A, B, C; // Truth table for D = f (A, B, C) = 6(0, 2, 4, 6, 7); Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 52 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 53 www.Mohandesyar.com table A B C : D // Column header comment 0 0 0 : 1; 0 0 1 : 0; 0 1 0 : 1; 0 1 1 : 0; 1 0 0 : 1; 1 0 1 : 0; 1 1 0 : 1; 1 1 1 : 1; endtable endprimitive // Verilog model: Circuit instantiation of Circuit_UDP_02467 module Circuit_with_UDP_02467 (e, f, a, b, c, d); output e, f; input a, b, c, d; // UDP_02467 M0 (e, a, b, c); and (f, e, d); //Option gate instance name omitted endmodule Name 0 30 60 A B C D E F Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 90 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 54 www.Mohandesyar.com CHAPTER 4 4.1 (a) T1 = B'C, T2 = A'B, T3 = A + T1 = A + B'C, T4 = D † T2 = D † (A'B) = A'BD' + D(A + B') = A'BD' + AD + B'D F1 = T3 + T4 = A + B'C + A'BD' + AD + B'D With A + AD = A and A + A'BD' = A + BD': F1 = A + B'C + BD' + B'D Alternative cover: F1 = A + CD' + BD' + B'D F2 = T2 + D = A'B + D ABCD T1 T2 T3 T4 F1 F2 CD 0000 0001 0010 0011 0100 0101 0110 0111 0 0 1 1 0 0 0 0 0 0 0 0 1 1 1 1 0 0 1 1 0 0 0 0 0 1 0 1 1 0 1 0 0 1 1 1 1 0 1 0 0 1 0 1 1 1 1 1 1000 1001 1010 1011 1100 1101 1110 1111 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 1 0 1 0 1 0 1 1 1 1 1 1 1 1 1 0 1 0 1 0 1 0 1 00 m0 01 m1 00 1 m4 01 m5 1 m12 m4 m13 1 m8 10 m15 m9 D F2 = A'B + D 1 m6 1 m13 m15 1 m8 m9 m14 1 m11 1 1 B 1 m10 1 1 D F1 = A + B'C+ B'D + BD' AB C 00 01 m0 m1 m4 1 m5 m7 m13 1 11 1 m8 10 1 1 m6 1 m12 A 10 m2 1 01 B 11 m3 1 00 m10 1 1 m7 1 10 10 m14 m11 m2 CD 1 1 m5 m12 m6 1 10 m3 1 11 m2 m7 11 1 01 1 1 11 A 11 m3 m1 00 C CD 01 m0 A AB C 00 m9 1 m15 1 m11 1 1 m14 B 1 m10 1 D F1 = A + CD' + B'D + BD' Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 55 www.Mohandesyar.com 4.2 [(A'D)' A']'= A + D A' A F B C BC + A' BC G D (A'D)' = A + D’ F = (A + D)(A' + BC) = A'D + ABC + BCD += A'D + ABC F = (A + D')(A' +BC) = A'D' + ABC + BCD' = A'D' + ABC CD AB m0 01 11 m1 00 m4 m5 m6 m13 m15 m9 B m3 A m10 10 m2 1 m5 m7 m6 1 m12 10 1 m13 m15 1 m8 m9 m11 B m14 1 m10 10 D D G = A'D' + ABC + BCD' = A'D' + ABC F = A'D + ABC + BCD = A'D + ABC 4.3 11 11 1 m11 m1 m4 m14 01 1 01 1 1 m8 m0 00 m7 11 C 00 m2 1 1 m12 AB 10 m3 1 01 A CD C 00 (a) Yi = (AiS' + BiS)E' for i = 0, 1, 2, 3 (b) 1024 rows and 14 columns 4.4 (a) xyz F 000 001 010 011 100 101 110 111 1 1 1 0 0 0 0 0 y yz x 00 m0 1 0 m4 x 01 11 m1 m3 10 m2 1 1 m5 m7 1 m6 x' y' F x' y' z F = x'y' + x'z' Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 56 www.Mohandesyar.com (b) xyz F 000 001 010 011 100 101 110 111 0 1 0 0 0 0 0 0 y yz x 00 01 m0 m4 m2 1 m5 z m7 F m6 1 1 10 m3 1 0 x 11 m1 1 z F=z 4.5 xyz ABC 000 001 010 011 100 101 110 111 010 011 100 101 001 010 011 100 A yz x 00 y 01 m0 11 m1 1 m4 m5 x' y m2 0 x 10 m3 1 m7 A m6 y z 1 1 z A = x'y + yz B yz x 00 01 m0 10 m3 m2 m7 m6 m5 1 1 y 00 y 01 11 m1 m4 10 m3 1 0 x z' C m0 m2 1 m5 m7 x z m6 1 1 B x B = x'y' + y'z + xyz' yz y' z 1 z x x y' 1 m4 x 11 m1 1 0 y 1 C z C= x'z + xz' 4.6 xyz F 000 001 010 011 100 101 110 111 0 0 0 1 0 1 1 1 A yz x 00 m0 01 m1 y 11 m3 1 0 m4 x 1 10 m2 m5 m7 1 m6 1 1 x z y z x y F z F = xz + yz + xy Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 57 www.Mohandesyar.com module Prob_4_6 (output F, input x, y, z); assign F = (x & z) | (y & z) | (x & y); endmodule 4.7 (a) ABCD 0000 0001 0011 0010 0110 0111 0101 0100 1100 1101 1111 1110 1010 1011 1001 1000 wxyz CD 0000 0001 0010 0011 0100 0101 0110 0111 AB C 00 m0 01 m1 11 10 m3 00 m2 m0 00 m4 m5 m7 m6 m4 11 m13 1 m8 10 m15 1 m9 1 m14 1 A m10 1 m0 m1 11 m3 00 m13 m15 m14 m8 m9 m11 m10 m4 m5 1 m12 m7 m13 10 m9 1 m0 m15 m11 01 m1 m4 B m14 1 C y 1 m7 m6 1 m13 m15 m14 m9 1 m11 1 z=A B =y D B 10 m2 1 m8 10 1 m3 m5 m12 A m10 11 1 11 1 w x D 1 1 01 D y = A'B'C A'BC' + ABC + AB'C' = A'(A B) + A(B C)' =A B C = X C A 1 00 1 m6 1 m8 B C 00 m2 1 11 1 CD AB 10 1 01 1 m12 10 1 C 01 1 D x = AB' + A'B = A B CD 00 m6 1 11 1 m11 1 B w=A A 10 m2 m7 1 D AB 11 m3 m5 01 m12 1000 1001 1010 1011 1100 1101 1110 1111 01 m1 00 01 A C CD m10 1 D C D z (b) module Prob_4_7(output w, x, y, z, input A, B, C, D); always @ (A, B, C, D) case ({A, B, C, D}) 4'b0000: {w, x, y, z} = 4'b0000; Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com B © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 58 www.Mohandesyar.com 4'b0001: 4'b0010: 4'b0011: 4'b0100: 4'b0101: 4'b0110: 4'b0111: {w, x, y, z} = 4'b1111; {w, x, y, z} = 4'b1110; {w, x, y, z} = 4'b1101; {w, x, y, z} = 4'b1100; {w, x, y, z} = 4'b1011; {w, x, y, z} = 4'b1010; {w, x, y, z} = 4'b1001; 4'b1000: 4'b1001: 4'b1010: 4'b1011: 4'b1100: 4'b1101: 4'b1110: 4'b1111: endcase endmodule {w, x, y, z} = 4'b1000; {w, x, y, z} = 4'b0111; {w, x, y, z} = 4'b0110; {w, x, y, z} = 4'b0101; {w, x, y, z} = 4'b0100; {w, x, y, z} = 4'b0011; {w, x, y, z} = 4'b0010; {w, x, y, z} = 4'b0001; Alternative model: module Prob_4_7(output w, x, y, z, input A, B, C, D); assign w = A; assign x = A ^ B); assign y = x ^ C; assign z = y ^ D; endmodule 4.8 ABCD 0000 0001 0011 0010 0110 0111 0101 0100 1100 1101 1111 1110 1010 1011 1001 1000 wxyz 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111 CD C CD AB 00 m0 01 m1 00 11 x m4 10 m3 m5 00 m2 x m0 x m7 m6 11 m13 x m8 10 m15 m4 x m9 m14 1 B m11 m10 m8 10 1 D m0 01 m1 00 11 m3 x m4 m5 m6 m13 m15 m14 x m9 x m11 1 1 m10 1 m12 x m7 m13 x 11 m8 w = AB+AC'D' x = B'C + B'D +BC'D' y = CD' +C'D z=D x m6 1 m15 x m9 10 m2 1 01 10 m7 C 00 A x D CD AB x m5 x 11 A 10 m2 1 m12 x 11 m3 x 01 m12 01 m1 00 01 A C m14 B x m11 1 m10 1 D Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com B © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 59 www.Mohandesyar.com Alternative model: module Prob_4_8(output w, x, y, z, input A, B, C, D); assign w = (A&B) | (A & (~C)) & (~D) ; assign x = ( (~B) & C) | ((~B) & D) | (B & (~C)) & (~D); assign y = C ^ D; assign z = D; endmodule 4.9 ABCD a b c 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 1 1 d e f g 1 0 1 1 0 1 1 0 1 1 1 0 1 0 0 0 1 0 1 0 0 0 1 1 1 1 1 0 1 1 1 0 0 0 1 1 1 0 1 1 CD CD C AB 00 01 m0 11 m1 00 m3 1 m4 m5 m7 01 1 m12 m0 1 00 1 01 m6 1 m13 00 m2 1 m15 C AB 10 m1 1 m4 B m14 11 01 m8 m9 m11 1 10 A m10 1 m5 m7 00 01 m12 m13 m15 m14 m8 m9 m11 m10 1 m3 1 m4 m12 m0 00 m7 1 m6 1 m13 00 m2 1 m5 1 C AB 10 m15 01 m1 m4 B m14 m8 m9 1 m11 A m10 1 m5 00 m3 m7 m4 m5 m7 01 m12 m13 m15 AB m0 1 00 1 01 m6 B A 11 m12 m13 m15 m14 m8 m9 m11 m10 1 m9 m11 1 m10 m3 A C 00 m2 m0 1 01 m1 11 m3 00 m5 1 m12 m7 1 m13 m6 m15 1 m4 1 m14 m5 1 01 B 10 m2 m7 1 1 m6 1 m12 m13 m15 m14 m8 m9 m11 m10 11 m8 10 D e = A'CD' + B'C'D' B 1 CD AB 10 11 m8 10 01 m1 m4 m14 1 d = A'CD' + A'B' C+ B'C'D' + AB'C' + A'BC'D C 00 m2 11 m6 D CD 1 1 1 10 c = A'B + A'D + B'C'D' + AB'C' m1 m2 1 D m0 10 m3 11 10 10 11 1 01 1 11 C B 1 CD 11 m1 1 01 11 m6 1 b = A'B' + A'C'D' + A'CD + AB'C' C 00 m0 01 1 D CD AB 00 1 1 10 a = A'C + A'BD + B'C'D' + AB'C' CD m2 1 D AB 10 m3 11 A A 11 m9 1 m11 m10 A 1 D f = A'BC' + A'C'D' + A'BD + AB'C' 10 1 1 D g = A'CD' + A'B'C + A'BC' + AB'C' Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com B © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 60 www.Mohandesyar.com 4.10 ABCD wxyz 0000 0000 0001 1111 0010 1110 0011 1101 0100 1100 0101 1011 0110 1001 0111 1000 1000 1001 1010 1011 1100 1101 1110 1111 CD C CD AB 00 m0 01 m1 00 11 m3 1 m4 01 m5 1 m7 m13 m0 1 00 1 01 m6 1 m15 B m14 m8 10 m9 m11 00 m0 01 m1 m7 m6 m13 m15 m14 m9 m11 m10 1 m3 m4 m5 m7 1 01 m12 m13 m15 1 11 m8 m9 m11 m0 1 00 1 01 m6 B m1 m5 m12 m13 1 A m8 1 m9 1 m6 1 m15 B m14 1 m11 1 10 10 m2 m7 1 11 m10 m3 m10 1 D z=D y = CD' + C'D = C D For a 5-bit 2's complementer with input E and output v: 4.11 1 11 1 m4 m14 01 D v=E B C 00 m2 1 1 10 1 CD AB 10 1 00 A 11 1 D x = B'(C + D) + CB'D' = B (C + D) C CD AB 1 m5 10 D w = A'(B + C + D) + AB'C'D' = A (B + C + D) m2 1 m8 1 10 m3 1 m12 A m10 11 1 11 A 01 m1 m4 11 1000 0111 0110 0101 0100 0011 0010 0001 C 00 m2 1 1 m12 10 (A + B + C + D) (a) A2 A3 A1 A0 1 x y Half Adder C S x y Half Adder C S x y Half Adder C S x y Half Adder C S Note: 5-bit output Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 61 www.Mohandesyar.com (b) A3 1 A2 x x y Full Adder A1 y Full Adder D B 1 x y Full Adder D B 1 B D A0 1 x y Half Adder B D Note: To decrement the 4-bit number, add -1 to the number. In 2's complement format ( add F h ) to the number. An attempt to decrement 0 will assert the borrow bit. For waveforms, see solution to Problem 4.52. 4.12 (a) x 0 0 1 1 y 0 1 0 1 B 0 1 0 0 D 0 1 1 0 D = x'y + xy' B = x'y (b) x y Bin 0 0 0 0 1 1 1 1 Sum C V (a) 1101 0 1 (b) 0001 1 1 (c) 0100 1 0 (d) 1011 0 1 (e) 1111 0 0 xor AND OR 4.13 4.14 10 + 5 + 5 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 B 0 1 1 1 0 0 0 1 D 0 1 1 0 1 0 0 1 Diff = x y z Bout = x'y + x'z + yz XOR + 10 = 30 ns Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. www.Mohandesyar.com 4.15 C4 = G3 + P3C3 = G3 + P3(G2 + P2G1 + P2P1G0 + P2P1P0C0) = G3 + P3G2 + P3P2G1 + P3P2P1G0 + P3P2P1P0C0 4.16 (a) (C'G'i + p'i)' = (Ci + Gi)Pi = GiPi + PiCi = AiBi(Ai + Bi) + PiCi = AiBi + PiCi = Gi + PiCi = AiBi + (Ai + Bi)Ci = AiBi + AiCi + BiCi = Ci+1 (PiG'i) † Ci = (Ai + Bi)(AiBi)' † Ci = (Ai + Bi)(A'i + B'i) † Ci = (A'iBi + AiB'i) † Ci = Ai † Bi † Ci = Si (b) Output of NOR gate = (A0 + B0)' = P'0 Output of NAND gate = (A0B0)' = G'0 S1 = (P0G'0) † C0 C1 = (C'0G'0 + P'0)' as defined in part (a) 4.17 (a) (C'iG'i + P'i)' = (Ci + Gi)Pi = GiPi + PiCi = AiBi(Ai + Bi) + PiCi = AiBi + PiCi = Gi + PiCi = AiBi + (Ai + Bi)Ci = AiBi + AiCi + BiCi = Ci+1 (PiG'i)†Ci = (Ai + Bi)(AiBi)'†Ci = (Ai + Bi)(A'i + B'i)†Ci = (A'iBi + AiB'i)†Ci = Ai†Bi†Ci = Si (b) Output of NOR gate = (A0 + B0)' = P'0 Output of NAND gate = (A0B0)' = G'0 S0 = (P0G'0)†C0 C1 = (C'0G'0 + P'0)' as defined in part (a) 4.18 Inputs Outputs ABCD wxyz 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1001 1000 0111 0110 0101 0100 0011 0010 0001 0000 d(A, b, c, d) = 6(10, 11, 12, 13, 14, 15) Digital Design – Solution Manual. M. Mano. M.D. Ciletti, Copyright 2007, All rights reserved. www.Mohandesyar.com 62 © 2007 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This publication is protected by Copyright and written permission should be obtained from the publisher prior to any prohibited reproduction, storage in a retrieval system, or transmission in any form or by any means, electronic, mechanical, photocopying, recording, or likewise. For information regarding permission(s), write to: Rights and Permissions Department, Pearson Education, Inc., Upper Saddle River, NJ 07458. 63 www.Mohandesyar.com C CD AB 00 m0 00 01 m1 1 m4 11 m3 CD AB 10 m2 00 m0 m7 m6 m13 x 11 A m8 m15 x m9 m4 m11 00 m0 m1 m3 00 x m4 m5 m12 11 m13 x m8 m15 x m9 x m11 x 10 CD AB 10 m6 m15 m14 x x m9 1 00 1 01 m11 m10 x B x m3 10 m2 1 m5 1 m7 1 m13 m15 x m14 x m9 m11 1 D y=C m6 1 x m8 10 11 m1 m12 A m10 01 1 11 x C C 00 m4 m14 B x x m0 m6 1 m7 10 m2 m7 m13 m8 1 D x = BC' + B'C = B 1 01 10 m2 1 x 11 A m10 m5 1 m12 x x D w = A'B'C' C 01 11 B m14 x 10 CD 11 m3 1 01 01 m12 01 m1 00 1 m5 C B x m10 x x D z = D' 4.19 Mode = 0 FOR Ad