UNIVERSIDADE FEDERAL DE SÃO CARLOS
CENTRO DE CIÊNCIAS EXATAS E DE TECNOLOGIA
PROGRAMA DE PÓS-GRADUAÇÃO EM CIÊNCIA DA COMPUTAÇÃO
PROJETO DE UM SISTEMA DE REGISTRO DE
SINAIS DE NEURÔNIOS EM MATRIZ DE
MICROELETRODOS COM RESSONÂNCIA
ESTOCÁSTICA
FRANCISCO FAMBRINI
ORIENTADOR: PROF. DR. JOSÉ HIROKI SAITO
São Carlos - SP
Setembro/2019.
UNIVERSIDADE FEDERAL DE SÃO CARLOS
CENTRO DE CIÊNCIAS EXATAS E DE TECNOLOGIA
PROGRAMA DE PÓS-GRADUAÇÃO EM CIÊNCIA DA COMPUTAÇÃO
PROJETO DE UM SISTEMA DE REGISTRO DE
SINAIS DE NEURÔNIOS EM MATRIZ DE
MICROELETRODOS COM RESSONÂNCIA
ESTOCÁSTICA
FRANCISCO FAMBRINI
Tese apresentada ao Programa de PósGraduação em Ciência da Computação
da Universidade Federal de São Carlos,
como parte dos requisitos para a
obtenção do título de Doutor em Ciência
da Computação, área de concentração:
Arquitetura e Processamento de Imagens
e Sinais.
Orientador: Dr. José Hiroki Saito.
O presente trabalho foi realizado com
apoio da Coordenação de
Aperfeiçoamento de Pessoal de Nível
Superior – Brasil (CAPES) - Código de
Financiamento 001.
São Carlos – SP
Setembro/2019.
AGRADECIMENTOS
Este trabalho foi apoiado pelas seguintes agências de pesquisa
brasileiras: FAPESP e CAPES. O Equipamento de Amplificação e Registro de
Sinais Convencional para Matrizes de microeletrodo descrito no Capítulo 3 é
financiado através da Fundação de Amparo à Pesquisa do Estado de São
Paulo (FAPESP), Projeto PIPE nº 2017/50270-5, a quem o autor agradece
profundamente.
O autor agradece ao prof. Dr. José Hiroki Saito, pelo financiamento de
grande parte destas pesquisas com recursos próprios, pelas orientações
valiosas ao longo de quase 5 anos de pesquisa e pela revisão minunciosa de
todos os textos. O professor Saito é um verdadeiro Mestre, que nunca permite
que seus orientados possam se tornar menos do que o que cada um pode ser.
Nenhum conjunto de palavras seria suficiente para agradecer seu imenso
trabalho, parceria, amizade e apoio.
Agradecimentos também aos professores Dr. João Batista Destro Filho e
Dra. Celina Lotufo, pelo apoio imenso prestado na Universidade Federal de
Uberlândia. E ao professor Dr. João Fernando Mari, pelo desenvolvimento do
software mea-sig utilizado em conjunto com este sistema.
O autor agradece a todos que indiretamente participaram da elaboração
deste trabalho, e sobretudo ao Grande Arquiteto do Universo, que permite que
os seres humanos compreendam e contemplem a grandiosidade de sua
Criação.
RESUMO
Esta tese de Doutorado tem como objetivo o estudo e a implementação prática de
um sistema completo capaz de registrar os sinais elétricos provenientes de uma
Matriz de microeletrodo. Essa matriz planar com múltiplos microeletrodos
conhecida como MEA (MicroElectrode Array), é construída com a mesma
tecnologia dos circuitos integrados em nanoescala, e permite que seja efetuada
em seu centro uma cultura biológica de células neurais. Através dos estudos em
MEAs pode-se entender melhor o padrão de spikes e bursts gerados pelos
neurônios em culturas in vitro. Os sinais elétricos capturados e registrados pelos
microeletrodos tem amplitude da ordem de microvolts (µV) e precisam ser
amplificados por circuitos especiais, dotados de filtros capazes de permitir
selecionar a banda de frequências de interesse. Entretanto, muitas vezes os níveis
elétricos dos sinais capturados em MEAs são tão pequenos que não é possível
amplificá-los através das técnicas convencionais, pois estes são da mesma ordem
do nível de ruído aleatório produzido pelos circuitos amplificadores. Alguns sinais
elétricos são impossíveis de serem registrados através dos amplificadores
operacionais convencionalmente usados em eletrônica. Neste trabalho, é descrita
a aplicação do Fenômeno de Ressonância Estocástica (RE), para registrar sinais
de intensidade muito pequena, em comparação com os métodos de amplificação
convencionais para sinais capturados em MEA. Para tanto, o trabalho desta tese
consiste no desenvolvimento do sistema de aquisição e gravação de sinais de
neurônios cultivados in vitro em MEA, usando métodos convencionais e RE;
realização de medidas elétricas do sistema desenvolvido em bancada e
comparação com os valores obtidos na simulação, testando amplificadores
convencionais e sistemas RE e a validação de todo o sistema em um experimento
real com uma cultura in vitro de neurônios, que foi efetuada em laboratório de
eletrofisiologia na Universidade Federal de Uberlândia. Os resultados destes
experimentos mostraram que a ressonância estocástica é útil para detectar sinais
de amplitude inferior a 100 µV p-p. Para sinais de maior amplitude, não existe
vantagem no uso da RE como elemento de amplificação, devido à distorção
produzida nos sinais.
Palavras-chave: matriz de microeletrodos, sinais eletrofisiológicos, culturas de neurônios in
vitro, Ressonância Estocástica, amplificadores.
ABSTRACT
This PhD thesis aims at the study and practical implementation of a complete
system capable of recording electrical signals from a Microelectrode Array. This
multi-electrode planar matrix known as MEA (MicroElectrode Array), is built with
the same technology as nanoscale integrated circuits,
and allows a biological
culture of neural cells to be performed at its center. Through studies on MEAs, we
can better understand the pattern of spikes and bursts generated by neurons on in
vitro cultures. The electrical signals captured and recorded from microelectrodes
have an amplitude of the order of microvolts (µV) and need to be amplified by
special circuits, with filters capable of selecting the frequency band of interest.
However, often the electrical levels of the signals captured in MEAs are so small
that they cannot be amplified by conventional techniques, as they are of the same
order as the random noise level produced by the amplifier circuits. Some electrical
signals are impossible to record through operational amplifiers conventionally used
in electronics. In this paper, we describe the application of the Stochastic
Resonance Phenomenon (SR) to record signals of very low intensity compared to
conventional amplification methods for signals captured in MEA. Therefore, the
work of this thesis consists in the development of the acquisition and recording
system of signals from in vitro cultured neurons in MEA, using conventional and SR
methods; electrical measurements of the developed bench system and comparison
with the values obtained in the simulation, testing conventional amplifiers and SR
amplification systems and validation of the entire device in a real experiment with
an in vitro culture of neurons, which was performed in an electrophysiology
laboratory at the Federal University of Uberlândia. The results of these
experiments showed stochastic resonance is useful for detecting signals below 100
µV p-p. For higher amplitude signals, there is no advantage in using the RE as an
amplification element due to the distortion produced in the signals.
Keywords: microelectrode array, electrophysiological signals, in vitro
stochastic resonance, amplifiers.
neuron culture,
LISTA DE FIGURAS
Figura 1.1: Componentes mínimos para um sistema de registro MEA em 60
canais. .................................................................................................... 21
Figura1.2: (a) MEA60, região central; (b) detalhe ampliado dos microeletrodos,
ao centro da MEA60............................................................................... 22
Figura 1.3: Experimento proposto por Potter et al. Fonte: Adaptado de
(POTTER, WAGENAAR e DEMARSE, 2006). ....................................... 23
Figura 2.1: Diagrama ilustrativo de um neurônio. Em (1) são ilustrados os
dendritos, em (2) o núcleo da célula, no interior do citoplasma, em
(3) a bainha de mielina que recobre o axônio e em (4) a porção
final do axônio, que realiza conexões elétricas com dendritos de
outros neurônios. Fonte: Adaptado de (PURVES, 2010). ...................... 26
Figura 2.2: Impulso elétrico proveniente de um neurônio de hipocampo de rato
Wistar. Quando em repouso o sinal elétrico situa-se entre -70 e 50mV; quando ocorre um disparo, este sinal aumenta de nível até
cerva de +10mV, invertendo sua polaridade e à seguir, retorna ao
nível basal de repouso. Fonte: adaptado de (LEWANDOWSKA,
2015). ..................................................................................................... 29
Figura 2.3: Registro do potencial extracelular de neurônios de gânglio dorsal de
ratos Wistar. Em vermelho, a curva teórica e em preto, o sinal
registrado. Nota-se que o sinal em vermelho se assemelha à curva
obtida à partir da derivada segunda da função degrau. Isso ocorre
em função da maneira com que os equipamentos efetuam os
registros dos sinais, pois tais registros dependem da carga elétrica
dos capacitores usados no acoplamento dos circuitos
amplificadores. ....................................................................................... 30
Figura 2.4: Sinais elétricos provenientes de dois cardiomiócitos (fibroblastos). A
curva C mostra um cardiomiócito com nível basal em torno de 70mV, que dispara um pulso elétrico atingindo o nível de +20mV e
à seguir retorna à condição de repouso. A curva F mostra outro
cardiomiócito cujo nível de repouso situa-se em torno de -45mV e
se eleva até cerca de 0 volts antes de retornar ao nível basal. .............. 31
Figura 2.5: Um múltiplo inteiro da amplitude de tensão do desvio padrão da
atividade basal é considerado um spike. Em (A) pode-se observar
um spike aproximadamente igual ao dobro da média do rúido e em
(B) outro spike, de maior amplitude, aproximadamente 4 vezes
maior do que o nível de ruído médio. Fonte: (RODRIGUEZ, 2012). ...... 33
Figura 2.6: O registro de um único canal é mostrado no lado esquerdo, com
ampliação do trecho apontado pela seta, à direita. Fonte:
(WAGENAAR, 2005). ............................................................................. 34
Figura 2.7: Esquema ilustrando IBI (intervalo entre bursts) e ISI (intervalo entre
spikes). O primeiro burst, à esquerda, contém 6 spikes e apresenta
um intervalo ISI entre os spikes. O segundo burst apresenta 4
spikes, com mesmo intervalo ISI entre os spikes. O intervalo de
tempo entre o primeiro burst e o segundo é chamado IBI, como
mostrado na figura. ................................................................................ 35
Figura 2.8: Registros elétricos feito em 6 eletrodos em fatia de hipotálamo de
rato. Na Figura central cada ponto marcado com A, B, C, D, E e F é
um microeletrodo. Fonte:(WHITSON et al, 2006)................................... 36
Figura 3.1: Diagrama de blocos ilustrando os subsistemas descritos neste
Capítulo. ................................................................................................. 38
Figura 3.2: Diagrama esquemático de um único canal pré-amplificador, em
simulação. Um circuito integrado do tipo OPA2376 é usado na
configuração não inversor. ..................................................................... 39
Figura 3.3: Sinal senoidal é aplicado na entrada (1) e o resultado é visto na
saída (2). ................................................................................................ 40
Figura 3.4: Circuito interno de proteção de entrada do ADC do microcontrolador
PIC12F683. Este circuito de proteção com os diodos D1 e D2
existe nas entradas de todos os ADCs, e impede a leitura de sinais
de tensões negativas. Fonte: (MICROCHIP, 2015)................................ 42
Figura 3.5: Simulação do circuito de um canal do pré-amplificador. Em paralelo
com o capacitor C2 foi acrescentada uma chave, que quando
acionada provoca um curto-circuito neste capacitor. ............................. 43
Figura 3.6: Forma de onda na saída do circuito pré-amplificador mostrado na
Figura 3.5, com a chave S1 ligada (sem o capacitor C2). Observase a simetria da onda senoidal em relação à linha de terra, central.
O sinal de saída apresenta semi-ciclos positivos e negativos. Cada
divisão no eixo vertical representa 10 mV. ............................................. 43
Figura 3.7: Resultado da simulação do circuito mostrado na Figura 3.5, porém
com a chave S1 desligada (com capacitor C2). Observa-se o
deslocamento do nível de tensão de off-set. Cada divisão no eixo
vertical representa 20 mV....................................................................... 44
Figura 3.8: Fonte de Terra Virtual, construída a partir de um CI do tipo
OPA4376. ............................................................................................... 45
Figura 3.9: Vista do lay-out da placa de circuito impresso que aloja os 59 canais
de pré-amplificadores. ............................................................................ 46
Figura 3.10: Outra visão do lay-out da placa de circuito impresso multi-layer do
Módulo Pré-Amplificador. ....................................................................... 47
Figura 3.11: Placa de circuito impresso multi-camadas do módulo Préamplificador, vista pelo lado dos componentes, ou lado inferior. ........... 48
Figura 3.12: Placa do Pré-Amplificador alojada no interior do soquete
mecânico. ............................................................................................... 48
Figura 3.13: Placa do Conversor Analógico/Digital, com 64 entradas,
construídos a partir de 8 circuitos integrados MCP3208. Cada CI
apresenta 8 entradas multiplexadas e controlados através de
entradas do tipo SPI, conforme descrito no texto. .................................. 49
Figura 3.14: Diagrama de blocos do Conversor AD e condicionador de sinais,
descritos neste Capítulo. Em conjunto, estes blocos formam o
Digitalizador............................................................................................ 50
Figura 3.15: Disposição dos pinos do circuito integrado MCP3208. No
desenvolvimento deste conversor A/D foram utilizadas 8 unidades
deste chip. Fonte: (MICROCHIP, 2010). ................................................ 51
Figura 3.16: Diagrama de blocos funcionais mostrando os blocos internos do
circuito integrado conversor AD modelo MCP3208 do fabricante
Microchip. Fonte: (MICROCHIP, 2010). ................................................. 51
Figura 3.17: As duas opções de configuração para dispositivos escravo em
portas SPI: Em (A) conexão em cascata, todos os escravos na
cadeia compartilham de uma única linha de seleção; (B) cada
dispositivo tem sua própria linha de entrada de seleção de chip
exclusiva vinda do mestre. ..................................................................... 53
Figura 3.18: Sinais nos pinos de comunicação do chip conversor A/D
MCP3208. Fonte: (MICROCHIP, 2010). ................................................ 54
Figura 3.19: Diagrama elétrico de um amplificador PGA montado a partir dos
circuitos integrados TL074. No total, foram construídos 60
amplificadores iguais e divididos em 3 placas de circuito impresso
onde cada placa comporta 15 circuitos amplificadores. ......................... 55
Figura 3.20: Desenho das placas de circuito impresso mostrando 20
amplificadores PGA. Cada um dos circuitos integrados TL074
montados nas placas mostradas nesta Figura
contém
internamente 4 amplificadores operacionais. Um total de 3 placas
iguais foram construídas e interligadas à entrada do conversor A/D. .... 56
Figura 3.21: Implementação prática dos blocos mostrados no diagrama da
Figura 3.14. ........................................................................................... 57
Figura 3.22: Foto da placa de circuito impresso contendo o FPGA modelo
EP2C5 ALTERA Cyclone II, que foi adquirida pronta no comércio e
é de procedência chinesa. ..................................................................... 58
Figura 3.23: Descrição das entradas e saídas em linguagem VHDL. Parte do
código implementado na placa mostrada na Figura 3.22. ...................... 59
Figura 3.24: Descrição comportamental em código VHDL mostrando parte do
código que descreve as interfaces SPI. ................................................. 60
Figura 3.25: Trecho do código VHDL que carrega o vetor de saída, que será
enviado para a placa Orange PI. ............................................................ 62
Figura 3.26: Trecho do código VHDL que concatena os dados de todas as
entradas de todos os conversores A/D e monta o vetor de saída de
dados, chamado de “amostra”................................................................ 62
Figura 3.27: Aspecto da placa Orange PI, mostrando os detalhes do
barramento para acesso da interface SPI. Fonte: (ORANGE,
2018). ..................................................................................................... 64
Figura 3.28: Trecho inicial do código em C que inicializa a interface SPI da
placa Orange PI. .................................................................................... 65
Figura 3.29: Laço de execução infinita que envia o vetor de dados para o
computador PC. ..................................................................................... 66
Figura 3.30: Módulo Digitalizador em fase de montagem; Em (A), (B) e (C) são
mostradas as placas dos amplificadores ilustrados na Figura 3.20;
Em (D) é vista a placa do conversor AD; em (E) mostra-se a placa
Orange PI, em (F) a placa do FPGA e em (G) finalmente, o módulo
gerador de clock de 4MHz. Este módulo de 4MHz poderia ter sido
suprimido caso se utilizasse o PLL interno presente no FPGA. ............. 67
Figura 3.31: Aspecto final do protótipo do Digitalizador para Matriz de
Microeletrodos: em (A) é mostrado o Digitalizador, com os fios
interligando todos os módulos e em (B) o Digitalizador é ligado ao
soquete que faz a conexão com a MEA. ................................................ 68
Figura 3.32: Tela resultado da conexão SSH entre a Orange PI e o computador
PC. ......................................................................................................... 69
Figura 3.33: Tela de apresentação do Login e da Senha do usuário. ....................... 70
Figura 3.34: Tela que mostra o espaço disponível no computador. .......................... 70
Figura 3.35: Tela inicial para gravação dos dados. ................................................... 71
Figura 3.36: Tela confirmando que a gravação está ocorrendo e que a interface
SPI da Orange PI está conectada com taxa máxima igual a 32MHz. .... 71
Figura 3.37: Tela confirmando que a gravação cessou pela ação do usuário,
através das teclas Control + C. .............................................................. 72
Figura 3.38: Arquivos gravados na pasta “C:meas” do computador PC. .................. 72
Figura 3.39: Programa “RAW to CSV”, que abre os arquivos .txt gravados na
pasta meas e os transforma em números de 12 bits, no formato
.CSV, adequado à leitura do software que foi desenvolvido por
outro pesquisador para este mesmo projeto. ......................................... 73
Figura 3.40: Interface gráfica do Software “RAW to CSV” mostrando a
conversão dos arquivos do formato .txt para o formato .CSV. ............... 73
Figura 3.41: Dados já convertidos, enviados para o computador e abertos no
programa Excel. ..................................................................................... 74
Figura 4.1: Uma representação esquemática do ruído caótico: (a) o circuito
Chua; (b) a Equação característica do sistema; (c) O sinal de saída
do sistema. ............................................................................................. 77
Figura 4.2: Histograma para o ruído branco uniforme; (b) Histograma para ruído
branco Gaussiano. O eixo das abscissas representa o nível de
ruído e o eixo das ordenadas representa a frequência de cada
componente do mesmo sinal. Fonte:(ANDÒ, 1999). .............................. 80
Figura 4.3: Gráfico mostrando a relação Sinal-Ruído em função da magnitude
do ruído de entrada em um sistema não-linear. O ponto de máximo
mostra o nível ótimo de ruído e ilustra o fenômeno de ressonância
estocástica, conforme descreve a literatura. Fonte: adaptado de
(ANDÒ, 1999)......................................................................................... 83
Figura 4.4: Esquema para medições de ressonância estocástica............................. 83
Figura 4.5: Gráfico que ilustra a energia potencial de uma partícula em
movimento Browniano, na ausência de ruído aditivo e de forças
externas.................................................................................................. 86
Figura 4.6: Modelo de um sistema RE baseado em Limiar, SL (BARRETO,
2015). ..................................................................................................... 88
Figura 4.7: (a) Sinal de entrada periódico, com nível de intensidade abaixo do
limiar T, resultando em saída zero; (b) Entrada de ruído, resultando
em saída proporcional à quantidade de vezes que o ruído atinge o
limiar T (MCDONNEL, 2009). ................................................................. 89
Figura 4.8: Sinal de entrada periódico com ruído, resultando em uma saída
discriminando a frequência do sinal de entrada (MCDONNEL,
2009). ..................................................................................................... 89
Figura 4.9: Diagrama de um arranjo de SLs em paralelo (MCDONNEL, 2009). ....... 90
Figura 4.10: (a) Curva de Transferência de um comparador com histerese
(Schmitt trigger); (b) Diagrama elétrico de um amplificador
operacional ligado como comparador, que exibe a curva mostrada
em (a). Fonte:(CHIGA et. al., 2015). ...................................................... 96
Figura 4.11: Diagrama de blocos mostrando o experimento de Ressonância
Estocástica descrito por Chiga: Receptor RX de RF digital sem
modificações, usando o dispositivo de Ressonância Estocástica
RE. Fonte: adaptado de (CHIGA et. al, 2015). ....................................... 97
Figura 4.12: (a) Esquema elétrico do comparador com histerese; (b) aspecto do
protótipo construído por (CHIGA et. al, 2015). ....................................... 97
Figura 4.13: Medições utilizando-se o circuito mostrado na Figura 4.11. Dois
CIs Schmitt trigger foram testados. A Curva "Proposed” foi obtida
com o uso do ADCMP607 e a curva “Conventional” foi obtida com o
LM7171. Fonte: (CHIGA et. al, 2015). .................................................... 99
Figura 4.14: Proposta de um Espectrômetro melhorado, muito mais sensível. O
efeito RE é introduzido a partir de uma segunda fonte luminosa
(CW Laser) que apresenta ruído acrescentado por um disco
rotativo difusor (Rotary diffuser). Fonte:Adaptado de (UFJF, 2016). .... 100
Figura 4.15: Esquema de um espectroscópio fotoacústico convencional.
Adaptado de (HUIYU et. al., 2001). ...................................................... 100
Figura 4.16: Esquema de um Espectroscópio fotoacústico melhorado através
da implementação de RE, conforme proposto por Huiyu. O disco
rotativo “Chopper” acrescenta ruído no feixe de luz incidente,
melhorando a relação SNR através do fenômeno RE. Adaptado de
(HUIYU et. al., 2001). ........................................................................... 101
Figura 5.1: Resultado das simulações para produção de sinais senoidais de
amplitude (a) A=0,1V, (b) A=0,2V, (c) A=0,3V e (d) A=0,4V feitas
com o software MATLAB. Na coluna da direita pode-se observar o
espectro de amplitude para cada sinal, obtido a partir da
Transformada Rápida de Fourier. ........................................................ 104
Figura 5.2: Código MATLAB utilizado para simular os sinais puros e os gráficos
de FFT. ................................................................................................. 105
Figura 5.3: Os mesmos sinais senoidais puros mostrados na Figura 5.1, agora
aparecem corrompidos pela adição do ruído aleatório. À direita, o
resultado da aplicação da FFT unilateral a cada sinal. Pode-se
observar pelo gráfico no domínio da frequência a presença do
ruído. Nestes casos, o sinal ainda é identificável, porque o nível de
ruído não é muito elevado. ................................................................... 106
Figura 5.4: Código-fonte utilizando MATLAB para simular sinal+ruído gerado
através da função rand( ). ................................................................... 107
Figura 5.5: Gráficos mostrando em (a) o sinal senoidal de amplitude 0,4V; (b)
ruído produzido através da função rand( ) com amplitude igual a
2,0V; (c) resultado da adição sinal+ruído e (d) gráfico do espectro
de amplitude no domínio da frequência. .............................................. 107
Figura 5.6: Gráfico mostrando o ponto de RE: o eixo horizontal representa
valores do desvio padrão do ruído (amplitude do ruído) e o eixo
vertical mostra os valores de SNR(db) calculados através do
MATLAB para a simulação mostrada na Figura 5.5. ............................ 108
Figura 5.7: Poço de duplo potencial, ilustrando a energia potencial de uma
partícula que executa movimento Browniano em processos
estocásticos.......................................................................................... 110
Figura 5.8: Trecho do código MATALAB usado para resolver a equação de
Langevin, baseado no método de Runge-Kutta. .................................. 111
Figura 5.9:
Solução da equação (5.8) de trajetória para uma partícula em
movimento Browniano realizando ressonância estocástica com o
ruído aditivo. Nesta simulação os valores dos parâmetros
escolhidos foram: =2, =1,
á =100, ∆t=0.01 e x0=0. ............... 112
Figura 5.10: Espectro de amplitude para o gráfico mostrado na Figura 5.9,
mostrando o valor da frequência de excitação, f=0.1Hz, que
corresponde ao sinal fraco que se deseja detectar. ............................. 112
Figura 5.11: Resultado da solução da equação (5.9) de Langevin discreta para
diferentes valores dos parâmetros
. (a)
=2
= 1; (b)
= 0.5
= 2; (c)
=5
= 0.5; (d)
= 0.5
= 5; À direita
são mostrados os espectros de frequência de cada sinal usando-se
FFT. ...................................................................................................... 113
Figura 5.12: Três circuitos que foram simulados em computador. .......................... 115
Figura 5.13: Printscreen da tela mostrando as especificações do simulador de
circuitos em versão gratuita utilizado nas simulações neste
trabalho. ............................................................................................... 115
Figura 5.14: Esquema elétrico de um circuito Schmitt trigger genérico,
construído a partir de um amplificador operacional. ............................. 116
Figura 5.15: Esquema elétrico adotado no protótipo. Observar o uso de um
potenciômetro digital modelo X9313 do fabricante Xicor, o qual
permite atenuar ou ampliar a amplitude do ruído branco Gaussiano
aplicado à entrada do Schmitt trigger, para fins de controle do efeito
de RE através de um computador PC. ................................................. 118
Figura 5.16: Diagrama interno do circuito integrado X9313. ................................... 119
Figura5.17: Simulação para o circuito Schmitt trigger clássico. Em (A) é
mostrado o circuito simulado e em (B) o resultado das simulações.
O sinal mostrado em (C) é o sinal senoidal aplicado à entrada do
circuito e o sinal mostrado em (D) é o resultado do sinal não-linear
produzido na saída do ST. ................................................................... 120
Figura 5.18: Simulação para o AO não-linear com curva “N”. Em (A) é mostrado
o circuito simulado e em (B) o resultado das simulações. O sinal
mostrado em (C) é o sinal senoidal aplicado à entrada do circuito e
o sinal mostrado em (D) é o resultado do sinal não-linear produzido
na saída do circuito N. .......................................................................... 121
Figura 5.19: Simulação para o AO não-linear com curva de formato “S”. Em (A)
é mostrado o circuito simulado e em (B) o resultado das
simulações. O sinal mostrado em (C) é o sinal senoidal aplicado à
entrada do circuito e o sinal mostrado em (D) é o resultado do sinal
não-linear produzido na saída do circuito S. ........................................ 122
Figura 5.20: Diagrama esquemático referente à simulação do circuito que
produz o ruído branco. Observar o transístor Q1 à esquerda na
Figura, que é ligado como um diodo, tendo seu terminal coletor
desligado. ............................................................................................. 123
Figura 5.21: Resultado da simulação computacional do circuito mostrado na
Figura 5.18. A saída produz ruído branco Gaussiano, cuja
frequência central é determinada pelos valores dos resistores e
capacitores escolhidos para os filtros RC no circuito. .......................... 124
Figura 5.22: Circuito detector de envoltória. ............................................................ 125
Figura 5.23: Circuito demodulador de amplitude. .................................................... 125
Figura 5.24: Saída do demodulador de amplitude................................................... 126
Figura 5.25: a) Descarga do capacitor C quando RC << 1/f ;.................................. 126
Figura 5.26: Resposta ao degrau para o circuito simulado mostrado na Figura
5.22.Em (A) é reprsentado o pulso degrau e em (B) a resposta do
circuito a este pulso. Esta resposta é característica de um circuito
de primeira ordem. ............................................................................... 127
Figura 5.27: Resposta à um sinal dente-de-serra de frequência 1kHz para o
circuito simulado mostrado na Figura 5.22. .......................................... 128
Figura 6.1: Diagrama em blocos de um sistema não-linear sujeito a ruído
Gaussiano aditivo. Fonte: (MCDONELL, 2018).................................... 133
Figura 6.2: Diagrama de blocos do SASMARE, Sistema de Aquisição de Sinais
em MEA Assistido por Ressonância Estocástica, com ênfase no
subsistema REAC, descrito neste Capítulo. ......................................... 135
Figura 6.3: Diagrama de blocos representando os circuitos eletrônicos que
compõe o subsistema REAC................................................................ 137
Figura 6.4: Aspecto da interface gráfica do Software de controle do
equipamento REAC.............................................................................. 138
Figura 6.5: Circuito buffer, com amplificador operacional. ...................................... 139
Figura 6.6: Circuito misturador, baseado em três transistores NPN de uso geral.
Observar o uso de potenciômetros digitais IC1 e IC2 em suas
entradas. .............................................................................................. 139
Figura 6.7: Diagrama esquemático do circuito Gerador de Ruído Branco. ............. 140
Figura 6.8: Diagrama esquemático do circuito demodulador de amplitude e
frequência. A finalidade deste circuito, que é acionado
opcionalmente através do botão “Hw On” e “Hw Off” na interface
gráfica do REAC, é extrair de modo analógico informações
codificadas em amplitude (AM) ou em frequência (FM) que
eventualmente estejam contidas nos sinais dos neurônios. ................. 140
Figura 6.9: : Foto placa de circuito impresso Tiva Launchpad contendo o
microcontrolador TM4C123G fabricada por Texas Instruments,
utilizada para controlar os potenciômetros digitais do módulo REAC
e também para transformar os sinais analógicos em sinais digitais,
somente para a interface gráfica poder calcular os valores de SNR. ... 141
Figura 6.10: Aspecto da IDE do software Energia, utilizado para programar o
microcontrolador TM4C123G contido na placa Tiva Launchpad,
fornecida por Texas Instruments. ......................................................... 142
Figura 6.11: Aspecto da Interface gráfica do módulo REAC em funcionamento. .... 143
Figura 6.12: Layout da placa de circuito impresso do subsistema REAC. .............. 143
Figura 6.13: Placa de circuito impresso do subsistema REAC................................ 144
Figura 6.14: Diagrama elétrico completo do subsistema REAC. ............................. 145
Figura 6.15: Esboço de uma blindagem do tipo "Gaiola de Faraday". O
equipamento deverá ser colocado no interior desta blindagem
durante os experimentos com MEA. .................................................... 146
Figura 7.1: Aspecto macroscópico das 3 culturas de neurônios de gânglio
dorsal de ratos Wistar, efetuadas em três matrizes de
microeletrodos, com 60 eletrodos (MEA60), fornecidas pelo
fabricante MultiChannel. ....................................................................... 148
Figura 7.2: Aspecto do simulador eletrônico MEASim (A), desenvolvido pelo
autor durante seu trabalho de Mestrado; ao lado, em (B), é
mostrada uma MEA60 padrão, fornecida por MultiChannel. ................ 150
Figura 7.3: Equipamento de registro dos sinais em MEA, montado no interior da
Gaiola de Faraday, desenvolvida pelo autor neste projeto. ................. 151
Figura 7.4: Foto mostrando o protótipo do equipamento de registro durante o
experimento nº 9. Observar o Soquete elétrico cuja descrição foi
feita no Capítulo 3 deste trabalho......................................................... 152
Figura 7.5: Arquivos de formato .CSV de registro dos sinais elétricos
provenientes da MEA do experimento número 3, que foram
visualizados por meio do programa Microsoft Excel. Observar na
primeira linha de cada coluna a marcação do chip e do canal do
chip do qual provém cada sinal presente em cada coluna. .................. 155
Figura 7.6: Interface gráfica do software mea-sig, em desenvolvimento. Esta
interface permite visualizar o sinal elétrico presente em cada um
dos 59 canais da MEA, bem como proceder análise de spikes,
bursts e outras análises estatísticas nos sinais. Agradecimentos ao
prof. Dr. João Fernando Mari (UFV). .................................................... 157
Figura 7.7: Grade que representa as posições verdadeiras de cada eletrodo na
MEA: na interface gráfica do software mea-sig é possivel escolher
visualizar um canal em particular, clicando em cima da sua posição
nesta grade. ......................................................................................... 158
Figura 7.8: Gráficos correspondendo aos registros dos 8 primeiros canais do
experimento nº 1. Observar o sinal correspondente ao ruído elétrico
gerado pelo aparelho. .......................................................................... 159
Figura 7.9: Registro do canal 13 (eletrodo na posição 53 da MEA) durante o
experimento nº1. Neurônios em atividade basal não produziram
sinais elétricos. ..................................................................................... 159
Figura 7.10: Registro do canal 13 da MEA 1, durante o Experimento nº 2.
Nenhuma atividade elétrica foi registrada neste experimento, nem
neste, e nem em nenhum outro canal, comparando a Figura 7.10
com a Figura 7.9 – ambas representam o mesmo canal, antes e
após o estimulo. ................................................................................... 160
Figura 7.11: Registro da atividade elétrica em torno do eletrodo na posição 76
da MEA nº 2 no Experimento nº 3. Este registro é feito sem
estímulos. ............................................................................................. 161
Figura 7.12: Registro do sinal elétrico do eletrodo 76 da MEA nº 2 no momento
da aplicação do estímulo químico com solução de KCl na cultura do
Experimento nº 4. ................................................................................. 162
Figura 7.13: Registro da atividade elétrica em torno do eletrodo na posição 76
da MEA nº 2, Experimento nº 4, cerca de 2 minutos após a
aplicação do estimulo químico de KCl.................................................. 163
Figura 7.14: Registro da atividade elétrica dos neurônios nas posições 12, 22,
32, 42, 52, 62, 72 e 82 da MEA nº 3, Experimento 5. .......................... 164
Figura 7.15: Registro de atividade elétrica nos canais 1, 2, 4 e 5 (posições 12,
22, 42 e 53 na MEA) para a MEA nº 3, Experimento nº 6, após o
estimulo químico. ................................................................................. 165
Figura 7.16: Registro do sinal elétrico sem a presença da cultura na MEA nº 2. .... 166
Figura 7.17: Resultado do registro dos sinais “branco 2” para MEA nº 3,
correspondendo aos canais de 1 até 8 (posições 12, 22, 32, 42, 52,
62, 72 e 82 dos eletrodos da MEA). ..................................................... 167
Figura 7.18: Sinais referentes aos 60 canais visualizados com o software measig. ........................................................................................................ 168
Figura 7.19: Resultado do registro dos sinais do simulador MEASim no canal 3
do chip 6 (posição 37 da MEA). ........................................................... 169
Figura 7.20: Setup experimental para validação do subsistema REAC. ................. 170
Figura 7.21: (A) Diagrama esquemático mostrando os resistores que compõe o
divisor de tensão que foram alterados no projeto do simulador
MEASim, de modo a produzir senóides de amplitude indetectáveis
sem o uso de amplificadores. Em (B) é mostrada a posição dos
resistores substituídos na placa de circuito impresso do MEASim....... 171
Figura 7.22: (A) Sinal gerado pelo simulador MEASim antes da substituição dos
resistores divisores de tensão; (B) Sinal gerado após a substituição
dos resistores: observar que o sinal se torna indetectável, pois o
ruído de instrumentação é de maior amplitude do que o sinal
senoidal gerado. ................................................................................... 171
Figura 7.23: Testes efetuados com o módulo REAC. Pode-se observar que o
ponto de ressonância estocástica foi obtido no gráfico em (C). ........... 173
Figura 7.24: Gráfico mostrando a relação Sinal/Ruído (SNR) em decibéis em
função do nível de ruído ajustado no módulo REAC, em mVp-p. ........ 174
Figura 7.25: Gráfico ilustrando o ganho total de amplificação obtido em função
da amplitude do ruído aplicado à entrada do sistema. ......................... 175
Figura 7.26: Gráfico mostrando a forma de onda escolhida para os testes
comparativos entre o sistema convencional de amplificação e o
sistema por ressonância estocástica. Esta forma de onda foi
escolhida por ser semelhante a um burst proveniente do disparo de
neurônios naturais. ............................................................................... 176
Figura 7.27: Sinal utilizado para os testes de comparação, detalhando os
intervalos de tempo envolvidos. ........................................................... 176
Figura 7.28: Resultados dos testes comparativos entre o sistema de
amplificação por ressonância estocástica (REAC) e o sistema
amplificador linear convencional. ......................................................... 178
Figura 7.29: Comparação entre os ganhos (dB) do sistema de amplificação por
ressonância estocástica (REAC) e o sistema amplificador linear
convencional. ....................................................................................... 179
LISTA DE TABELAS
Tabela 1.1: Principais pesquisas em MEA, de 1972 a 1998. .................................... 19
Tabela 1.2: Pesquisas mais recentes em MEA, de 2001 até 2018. .......................... 19
Tabela 3.1: Bits de configuração a serem enviados para o chip MCP3208. ............. 54
Tabela 4.1: Relação dos ruídos aleatórios mais comuns que ocorrem em
circuitos eletrônicos. ............................................................................... 77
Tabela 5.1: Valores de SNR variando o nível do ruído para a simulação da
Figura 5.5. ............................................................................................ 108
Tabela 7.1: Níveis de ruído em cada um dos 8 primeiros canais. ........................... 166
Tabela 7.2: Resultados para os testes mostrados na Figura 7.23. ......................... 172
Tabela 7.3: Resultados dos testes comparativos entre os sistemas. ...................... 179
LISTA DE ABREVIATURAS E SIGLAS
A/D
ADC
AO
BCI
CI
CSV
DAQ
DSP
DDP
ECG
EEG
EMI
FAC
FET
FFT
FlexMEA
FPGA
GND
IBI
ISI
MCS
MEA
MEASim
MUX
PAD
PGA
PSD
RC
RE
REAC
REF
SASMARE
SAR
SMD
SNC
SNP
SNR
SPI
SPICE
SR
SSH
ST
VHDL
- Analog to Digital
- Analog to Digital Converter
- Amplificador Operacional
- Brain Computer Interface
- Circuito Integrado
- Comma Separated Values
- Digital Analogic Acquisitor
- Digital Signal Processor
- Diferença de Potencial
- EletroCardioGrama
- EletroEncéfaloGrama
- EletroMagnetic Interference
- Função de Auto-Correlação
- Field Effect Transistor
- Fast Fourier Transformer
- Flexible MEA
- Field Programming Gate Array
- Ground (terra elétrico)
- Inter-burst Interval
- Interspike Interval
- Multi Channel System
- Multi Electrode Array, MicroElectrode Array
- Multi Electrode Array Signal Simulator
- Multiplexador
- Contato elétrico na borda de uma placa de circuito impresso
- Programmable Gain Amplifier
- Power Spectral Density
- Resistor e Capacitor
- Ressonância Estocástica
- Ressonador Estocástico Assistido por Computador
- Eletrodo de Referência de tensão em um circuito
- Sistema de Aquisição de Sinais MEA com Ressonância Estocástica
-Successive Approximation Register
-Surface Mounting Device
-Sistema Nervoso Central
-Sistema Nervoso Periférico
-Signal Noise Ratio
-Serial Peripheral Interface
-Simulated Program with Integrated Circuits Emphasis
-Slew Rate
-Secure Shell
-Schmittt trigger
-VHSIC Hardware Description Language
SUMÁRIO
CAPÍTULO 1 - INTRODUÇÃO.................................................................................. 13
1.1 Contextualização ................................................................................................. 13
1.2 Objetivos da Pesquisa ......................................................................................... 14
1.3 Possíveis contribuições ....................................................................................... 15
1.4 Estruturação do Texto ......................................................................................... 15
1.5 Revisão bibliográfica sobre a cultura de neurônios em MEA .............................. 16
1.6 Sistemas de registros comerciais ........................................................................ 20
1.7 Considerações finais ........................................................................................... 24
CAPÍTULO 2 - FUNDAMENTOS SOBRE NEURÔNIOS, SISTEMA NERVOSO,
SPIKES E BURSTS .................................................................................................. 25
2.1 Neurônios ............................................................................................................ 25
2.2 Características dos sinais elétricos dos neurônios .............................................. 28
2.3 Spikes e bursts .................................................................................................... 32
2.4 Exemplo de aplicação prática.............................................................................. 35
2.5 Considerações Finais .......................................................................................... 37
CAPÍTULO 3 - PROJETO DO SISTEMA DE REGISTRO CONVENCIONAL
USADO EM MEA ...................................................................................................... 38
3.1 Diagrama de blocos ............................................................................................ 38
3.2 Módulo Pré-Amplificador ..................................................................................... 39
3.3 Tensões negativas em relação ao Terra virtual................................................... 42
3.4 Fonte de Alimentação Simétrica a partir de uma fonte simples........................... 44
3.5 Descrição dos blocos que integram o Digitalizador ............................................. 49
3.6 Circuito integrado Conversor AD ......................................................................... 50
3.7 Barramento SPI ................................................................................................... 52
3.8 Amplificadores para condicionamento de sinais.................................................. 55
3.9 Implementação prática do Digitalizador .............................................................. 57
3.10 Código em linguagem VHDL ............................................................................. 59
3.11 Módulo Orange PI ............................................................................................. 63
3.12 Código-fonte em linguagem C ........................................................................... 65
3.13 Execução final do protótipo do Digitalizador...................................................... 67
3.14 Considerações Finais ........................................................................................ 74
CAPÍTULO 4 - RUÍDO E RESSONÂNCIA ESTOCÁSTICA ................................... 75
4.1 Introdução ........................................................................................................... 75
4.2 Classificação dos Ruídos .................................................................................... 76
4.2.1 Função Densidade de Probabilidade ............................................................... 79
4.2.2 Ruído branco uniforme e ruído branco Gaussiano ........................................... 80
4.3 Ressonância Estocástica .................................................................................... 81
4.4 Movimento Browniano e a Equação de Langevin ............................................... 84
4.5 Análise numérica da Equação de Langevin ........................................................ 87
4.6 Sistema de Ressonância Estocástica Baseado em Limiar (SL) .......................... 88
4.7 Dithering .............................................................................................................. 91
4.8 Medidas de performance para RE....................................................................... 93
4.9 Relação Sinal/Ruído ............................................................................................ 94
4.10 Aplicações práticas da Ressonância Estocástica.............................................. 95
4.11 Aplicações de RE em Biomedicina .................................................................. 101
4.12 Considerações Finais ...................................................................................... 102
CAPÍTULO 5 - SIMULAÇÕES COMPUTACIONAIS ............................................. 103
5.1 Simulações matemáticas................................................................................... 103
5.1.1 Geração do ruído branco aditivo .................................................................... 103
5.1.2 Equação de Langevin ..................................................................................... 109
5.2 Simulação de Circuitos não-lineares ................................................................. 114
5.3 Schmitt trigger ................................................................................................... 116
5.3.1 Circuito 1: Simulação para Schmitt trigger clássico ........................................ 120
5.3.2 Circuito 2: Simulação para amplificador não-linear com curva de
transferência no formato “N”.................................................................................... 121
5.3.3 Circuito 3: Simulação para o amplificador não-linear com curva de
transferência no formato “S” .................................................................................... 122
5.4 Circuito Gerador de Ruído Branco .................................................................... 123
5.5 Circuito Detector de Envoltória .......................................................................... 124
5.6 Considerações Finais ........................................................................................ 128
CAPÍTULO 6 - DESENVOLVIMENTO DO SUBSISTEMA RESSONADOR
ESTOCÁSTICO - REAC ......................................................................................... 129
6.1 Valor RMS de um sinal ...................................................................................... 129
6.2 Cálculo da Relação Sinal-Ruído........................................................................ 130
6.3 Informação mútua ............................................................................................. 131
6.4 Entropia da Informação ..................................................................................... 133
6.5 Diagrama de blocos do Sistema de Aquisição e Registro de Sinais em MEA
com Ressonância Estocástica (SASMARE) ............................................................ 135
6.6 Diagrama de blocos do REAC........................................................................... 136
6.7 Sistema de Blindagem Eletromagnética ............................................................ 146
6.8 Considerações Finais ........................................................................................ 147
CAPÍTULO 7 - RESULTADOS EXPERIMENTAIS ................................................. 148
7.1 Setup experimental ........................................................................................... 148
7.1.1 Preparação das culturas em MEA .................................................................. 152
7.1.2 Leitura dos dados registrados ........................................................................ 155
7.2 Software para leitura e análise de dados .......................................................... 157
7.3 Gráficos dos registros ....................................................................................... 158
7.3.1 Experimento nº 1 ............................................................................................ 158
7.3.2 Experimento nº 2 ............................................................................................ 160
7.3.3 Experimento nº 3 ............................................................................................ 161
7.3.4 Experimento nº 4 ............................................................................................ 162
7.3.5 Experimento nº 5 ............................................................................................ 163
7.3.6 Experimento nº 6 ............................................................................................ 164
7.3.7 Experimento nº 7 ............................................................................................ 165
7.3.8 Experimento nº 8 ............................................................................................ 167
7.3.9 Experimento nº 9 ............................................................................................ 168
7.4 Ensaios com o subsistema REAC (Ressonador Estocástico Assistido por
Computador) ........................................................................................................... 170
7.5 Comparações entre o Sistema de Amplificação Convencional e o Sistema
por Ressonância Estocástica .................................................................................. 175
7.6 Considerações Finais ........................................................................................ 179
CAPÍTULO 8 - CONCLUSÕES E TRABALHOS FUTUROS.................................. 180
8.1 Conclusões........................................................................................................ 180
8.2 Trabalhos Futuros ............................................................................................. 182
REFERÊNCIAS ....................................................................................................... 184
APÊNDICE A .......................................................................................................... 197
APÊNDICE B - DIAGRAMA ELÉTRICO SOQUETE .............................................. 198
APÊNDICE C - DIAGRAMA FONTE DE ALIMENTAÇÃO .................................... 199
APÊNDICE D - DESENHO MECÂNICO SOQUETE MEA .................................... 200
APÊNDICE E - DESENHO MECÂNICO TAMPA DO SOQUETE.......................... 201
APÊNDICE F - DESENHO SOQUETE (INTERNO) ............................................... 202
APÊNDICE G - DIAGRAMA ELETRÔNICO MEASIM .......................................... 203
APÊNDICE H - DIAGRAMAS E PLACA AMPLIFICADOR ................................... 204
APÊNDICE I - CÓDIGO-FONTE EM VHDL .......................................................... 205
APÊNDICE J - CÓDIGO-FONTE ORANGE PI ..................................................... 209
APÊNDICE K - CÓDIGO-FONTE PLACA TIVA (REAC) ...................................... 211
APÊNDICE L - DIAGRAMA ELETRÔNICO REAC ............................................... 214
APÊNDICE M - PLACA SUBSISTEMA REAC ...................................................... 215
APÊNDICE N - CÓDIGO-FONTE DELPHI (REAC) .............................................. 216
APÊNDICE O - LISTA DE PUBLICAÇÕES........................................................... 223
Capítulo 1
CAPÍTULO 1 -
INTRODUÇÃO
A idéia inovadora apresentada nesta tese de Doutorado é explorar o conceito de
Ressonância Estocástica (RE) em circuitos elétricos amplificadores não-lineares (Schmitt
triggers) para estudar os sinais elétricos produzidos pelos neurônios cultivados in vitro
em Matrizes de Microeletrodos (MEA), comparando-o com os sistemas convencionais de
aquisição em MEA. Para que ocorra o fenômeno de Ressonância Estocástica (o qual
permite amplificar sinais muito fracos em meio a níveis controlados de ruído) é
necessário que exista um ruído Gaussiano aditivo misturado com o sinal fraco, e que
ambos sejam aplicados a algum sistema não-linear. Explora-se também o fato de que os
próprios neurônios são dispositivos não-lineares naturais, pela própria biologia dos
disparos de seus impulsos elétricos. Assim, um ruído Gaussiano aplicado à própria MEA
produz ressonância estocástica naturalmente, valendo-se de uma propriedade natural
das células neurais. Inicialmente é feita uma contextualização descrevendo os
fundamentos do dispositivo MEA. Em seguida são apresentados os objetivos do projeto
de pesquisa, possíveis contribuições, estruturação do texto e uma revisão bibliográfica
sobre cultura de neurônios em MEA
1.1 Contextualização
MEA é um acrônimo para Micro-Electrode Array e Multielectrode Array em
inglês, ou Matriz de Microeletrodos, em português. A sua versão de interface
fabricada com a finalidade de se fazer uma cultura de neurônios (ou de outras
células que produzem atividade elétrica, como por exemplo os cardiomiócitos)
possui normalmente uma superfície plana, que possui eletrodos, desenhados por
processo de litografia de Raios X. Essa técnica é a mesma empregada na fabricação
de circuitos integrados (SEABRA, 1997). Existe atualmente grande interesse no
estudo e no reconhecimento do padrão dos sinais elétricos produzidos pelos
neurônios e pelos tecidos nervosos, não apenas visando o entendimento e a relação
entre a topologia das redes neurais biológicas e seus sinais, mas sobretudo visando
Capítulo 1 - Introdução
14
aplicações práticas, como por exemplo, a construção de Interfaces CérebroMáquinas (BCI) e implantes bioeletrônicos. Um dos problemas é a amplificação
desses sinais, que podem ter amplitude muito pequena. Neste trabalho, o autor
propõe um método inovador e pouco usual para melhorar a relação sinal-ruído de
sinais de muito baixa intensidade. Este método (denominado Ressonância
Estocástica, RE) faz uso colaborativo do ruído, que quando adicionado de modo
controlado a um sinal de muito baixa intensidade, pode torná-lo detectável. As
características importantes do sinal, que são os spikes, bursts e os intervalos de
tempo entre eles, ficam preservadas apesar do ruído intencionalmente adicionado
pelo método RE e das distorções introduzidas nos sinais devido aos necessários
circuitos não-lineares. Este método é descrito nos Capítulos 4 a 6 desta tese. Além
desse método inovador, é descrito no Capítulo 3 a construção da parte convencional
do sistema de registro de sinais da interface MEA, incluindo a parte de amplificação.
O desenvolvimento deste sistema convencional é importante pois trata-se de uma
contribuição
tecnológica
para
o
desenvolvimento
da
captação
de
sinais
eletrofisiológicos no Brasil.
1.2 Objetivos da Pesquisa
O principal objetivo desta tese de Doutorado, é o desenvolvimento de um
método de medição de sinais de neurônios cultivados em MEA, com a possibilidade
de capturar sinais de amplitude muito pequena, que se mistura aos ruídos. Esse
método é baseado no uso do próprio ruído como elemento que auxilia na obtenção
do sinal, um fenômeno conhecido como Ressonância Estocástica.
Como objetivos específicos podemos citar:
a) Construção de um sistema convencional de registro de sinais de neurônios
cultivados em MEA;
b) Construção de um circuito de ressonância estocástica que aumenta a
sensibilidade do sistema convencional de amplificação de sinais, mas não substitui
este último na análise de sinais em MEA;
c) Comparação dos dois sistemas construídos.
Capítulo 1 - Introdução
15
1.3 Possíveis contribuições
Como contribuições deste projeto de pesquisa cita-se:
- Implementação de um sistema de captura de sinais eletrofisiológicos em níveis de
muito baixa amplitude;
- Construção de um circuito de captação de sinais impossíveis de serem obtidos por
um método convencionalmente empregado, explorando o fenômeno RE.
-Pesquisar sinais de neurônios provenientes de matrizes de microeletrodos,
relacionando os sinais e a distribuição espacial dos neurônios sobre a MEA;
- Determinar uma relação entre a intensidade dos sinais de interesse e do ruído
gaussiano que, quando adicionado a tais sinais, melhora a relação sinal-ruído.
- Obtenção de um sistema de controle computadorizado capaz de controlar
automaticamente níveis de sinais e ruído, obtendo o ponto de ressonância
estocástica;
- Contribuir para a pesquisa de processamento de sinais, obtendo um tratamento
matemático pormenorizado para o fenômeno de ressonância estocástica.
1.4 Estruturação do Texto
O presente texto está subdividido em 8 Capítulos. O Capítulo 1
apresenta uma revisão bibliográfica sobre as pesquisas em MEA durante os
últimos 50 anos e o Capítulo 2 apresenta uma breve revisão sobre neurônios,
sistema nervoso, spikes, bursts e também traz as características elétricas
importantes dos sinais que devem ser medidos e registrados neste trabalho.
A descrição de uma plataforma convencional para registro, aquisição e
processamento de sinais em matrizes de microeletrodos é apresentada no
Capítulo 3. O Capítulo 4 apresenta os fundamentos sobre ressonância
estocástica e cita algumas de suas aplicações práticas. O Capítulo 5
apresenta
os
resultados
de
diversas
simulações
matemáticas
e
computacionais dos circuitos eletrônicos implementados. O Capítulo 6
apresenta a utilização da RE como ferramenta de amplificação dos sinais em
Capítulo 1 - Introdução
16
MEA e o desenvolvimento de um protótipo denominado REAC (Ressonador
Estocástico Assistido por Computador). O Capítulo 7 descreve os resultados
experimentais dos ensaios efetuados tanto com a plataforma convencional de
registro de dados em MEA quanto com o subsistema de ressonância
estocástica REAC. As conclusões do estudo comparativo entre os dois
métodos são apresentadas no Capítulo 8, com notadas vantagens para o
método que faz uso da RE.
1.5 Revisão bibliográfica sobre a cultura de neurônios em MEA
Diversas culturas em MEA têm sido estudadas, tais como as culturas
de tecidos de cérebros de animais como os ratos da espécie Wistar
(POTTER, 2001, MAROM e SHAHAF, 2002; MORIN, TAKAMURA e TAMIYA,
2005; STEGENGA LE FEBER, et al., 2008), de neurônios da retina (JONES,
IAN, et al., 2015), culturas destinadas ao estudo de efeitos de drogas (BOIDO,
FARISELLO, et al., 2010) e análise de reações farmacológicas (EGERT E
HAMMERLE,2002; STETT, EGERT, et al., 2003). Pioneiramente, em 1972,
Thomas e colaboradores desenvolveram o primeiro sistema de matriz de
microeletrodos (THOMAS, 1972) que consistia em uma matriz de duas linhas
de 15 eletrodos em ouro, separados por uma distância de 100 µm entre si,
desenhadas sobre um substrato de vidro. No centro deste substrato, Thomas
et al. cultivaram células de neurônios de gânglio de raiz dorsal de ratos.
Entretanto, somente em 1980, Jerome Pine e colaboradores reportaram os
resultados da primeira pesquisa utilizando neurônios dissociados sobre MEA
(PINE, 1980), utilizando MEA com 16 eletrodos medindo 10 µm de largura,
em linhas paralelas que guardavam entre si uma distância de 250 µm.
Neurônio dissociado é aquele separado da fatia (slice) de tecido cerebral
extraída de algum animal (BARRETO, 2015). Neste experimento, Pine e
colaboradores registraram atividade neural de neurônios provenientes de
gânglios cervicais de ratos em cultura de 3 semanas, capturando sinais com
amplitude em torno de 50 mV (após amplificação), com relação sinal ruído
variando entre 5:1 a 15:1 (PINE, 1980). Pine também verificou que os
Capítulo 1 - Introdução
17
mesmos microeletrodos poderiam ser usados para aplicar estímulos elétricos
e fez experiências aplicando pulsos de 0,5V de amplitude com duração de 1
ms. Em 1986, Wheeler e Novak desenvolveram outro modelo de MEA passiva
(isto é, sem transistores ou circuitos integrados amplificadores) de 32
eletrodos, numa matriz de 8x4 e levaram adiante vários importantes
experimentos adicionando picrotoxina na cultura e relatando os efeitos
inibitórios da substância (WHEELER E NOVAK, 1986). Picrotoxina é um forte
estimulante usado para reverter os efeitos de uma intoxicação por
tranquilizantes como, por exemplo, barbitúricos. Pela primeira vez, foi relatado
o uso da MEA para testes em farmacologia. Em 1991, Fromherz e
colaboradores investigaram o uso de transistores FET (Field Effect
Transistors) para registrar potenciais de ação de células de aplysia Retzius,
de aproximadamente 50 µm de diâmetro (FROMHERZ, 1991).
A porta
isolada de um transístor FET, de 6 por 10 µm, foi completamente coberta pela
célula. Uma grande quantidade de sinais foi registrada, supostamente
resultante de variações no contato entre a célula e a porta. Isso deu início a
uma série de pesquisas buscando a interface neurônio-FET. Ainda em 1991,
Gross e colaboradores (GROSS, 1991) investigaram as relações entre os
sinais produzidos pelos neurônios em MEA, a duração e a intensidade dos
estímulos
aplicados
e
produzidos
pelas
culturas.
Outros
trabalhos
continuaram na mesma linha (CHIAPPALONE et al., 2003, JIMBO, 2000)
estudando a dinâmica de culturas corticais de alta densidade e concluíram
que um único estímulo pode produzir uma sequência de pulsos com pequeno
intervalo de tempo, denominado burst. Em 1995, Welsh et al. (WELSH, 1995)
publicaram experimentos em MEA desenvolvidos no laboratório de Jerome
Pine, demonstrando a possibilidade do seu uso para registrar sinais de redes
neurais por longo período de tempo. Os neurônios supraquiasmáticos, que
geram o ritmo circadiano diurno em mamíferos, foram dissociados, cultivados
e suas atividades espontâneas monitoradas durante vários dias com a MEA.
Um resultado surpreendente é que a rede cultivada não sincroniza, mas cada
neurônio exibiu oscilação independente com um período aproximado de 24
horas. Isso significa que cada neurônio pode ter o seu próprio gerador de
ritmo circadiano. Em 2003, um trabalho descrito por Schnitzer concluiu que
grupos de até 7 células de gânglios neurais disparam sincronamente e tais
Capítulo 1 - Introdução
18
grupos representam mais da metade de todos os registros de pulsos (spikes)
de células de retina cultivadas in vitro em MEA (SCHNITZER, 2003). Em
todos estes experimentos foi efetuado o registro simultâneo da atividade
extracelular de um grande número de neurônios, sendo que o sinal gerado
por um único neurônio pode ser detectado por muitos eletrodos, assim como
um único microeletrodo pode captar sinais elétricos provenientes de vários
neurônios distintos. Um trabalho de Desai et al. (DESAI, 2014) relata uma
comparação do uso de macroeletrodos para estimulação profunda in vivo e
múltiplos microeletrodos em hipocampo de ratos. Numa estimulação de 1 volt
com frequência de 25 Hz, com microeletrodos de 33 µm de diâmetro, foi
verificado um raio de ativação de 100 µm, que é 50% do que foi identificado
com estimulação de macroeletrodo de 150 µm de diâmetro. Macroeletrodos
ativaram aproximadamente 5,8 vezes mais neurônios que microeletrodos
individuais, mas deslocaram 20 vezes mais tecidos neurais. A esfera de
influência de microeletrodos de estimulação pode ser significantemente
melhorada reduzindo a impedância da MEA. Os raios de ativação
aumentaram 50 µm e mais que duplicaram o número de neurônios ativados.
Recentemente, Jimbo et al. (JIMBO, 2015) estudaram a separação cega de
fontes em neurônios corticais cultivados de acordo com o princípio de energia
livre (Free-Energy Principle), (FRISTON, 2010). Apesar dos estudos
anteriores de técnicas de separação cega de fontes terem revelado que o
cérebro pode usar esse procedimento como uma estratégia para o
processamento de sinais em percepção sensorial, e numerosos modelos
matemáticos terem sido propostos, permanece a questão de como as redes
neurais extraem fontes particulares de uma mistura complexa de entradas. Os
autores sugerem que os neurônios em culturas de células corticais
dissociadas podem aprender a representar fontes particulares enquanto
filtram outros sinais. Especificamente, as classes distintas de neurônios na
cultura aprenderam a responder a fontes distintas após repetir estimulações
de treinamento. Além disso, as estruturas de redes neurais mudaram para
reduzir a energia livre, conforme o princípio de energia livre, um candidato
para a teoria unificada de aprendizado e memória, e pelo princípio de Jaynes
de máxima entropia (JAYNES, 1957).
Esses resultados são as primeiras
demonstrações in vitro de redes neurais desempenhando separação cega
Capítulo 1 - Introdução
19
de fontes, e a primeira demonstração formal de auto-organização neural sob o
princípio de energia livre. A Tabela 1.1 resume as principais pesquisas em
MEA, desde 1972 até 1998. A Tabela 1.2 lista as pesquisas mais recentes
sobre o assunto, a partir de 2001 até o ano de 2018.
Tabela 1.1: Principais pesquisas em MEA, de 1972 a 1998.
Autores
Ano
Tema
Tecnologia
Tipo de células
Thomas et al.
Gross et al.
Jerome Pine
Gross et al.
Jobling et al.
Wheeler et al.
Meister et al.
Fromherz et al.
Welsh et al.
Taketani e
Kawana
Jimbo et al.
Granados
Fuentes et al.
1972
1977
1980
1982
1981
1986
1989
1991
1995
1990s
Desenvolvimento da primeira MEA
Segundo desenvolvimento de MEA
Registro em células dissociadas
Registro de células dissociadas
Desenvolvimento de arranjo comFET
MEA exclusiva para hipocampo
Retina de salamandra
MEA de FET
Registro de ritmo circadiano
Desenvolvimento de MEA no Japão
Duas linhas de 15 microeletrodos
36 microeletrodos de ouro
Duas linhas de 16 microeletrodos
36 microeletrodos de ouro
Transistores FET
MEA de 32 microeletrodos
MEA do Laboratório de Pine
Transistores FET
MEA do Laboratório de Pine
MEA de 64 microeletrodos
Ganglionares
Ganglionares
Ganglionares
Medula espinhal
Hipocampo
Hipocampo
Retina
Aplysia Retzius
Supraquiasma
Corticais
1999
2004
Estimulação tetânica
Ciclos circadianos
MEA de 64 microeletrodos
MEA60 da MultiChannel Systems
Corticais
Supraquiasma
Maeda et al.
Lewicki et al.
1995
1998
Neurônios corticais
Detecção de spikes
MEA de 64 microeletrodos
Múltiplos eletrodos
Corticais
Tabela 1.2: Pesquisas mais recentes em MEA, de 2001 até 2018.
Autores
Ano
Tema
Tecnologia
Berdondini et al.
Litke et al.
Segev et al.
2001
2004
2004
Einevoll et al.
Brown et al.
Hyvarinen
Hermle et al.
2012
2001
1999
2004
MEA alta densidade
512 microeletrodos
Número limitado de
microeletrodos
Centenas de microeletrodos
MEAs, EEG, fMRI
Diversas
Tetrodo
Snellings et al.
2006
Takahashi et al.
Takahashi et al.
Bakkum et al.
2003
2005
2013
Cogollo et al.
Downes et al.
Jäckel et al.
Frankeet al.
2011
2012
2012
2012
Bareket-Keren et al.
Desai et al.
2013
2014
Construção de MEA
Processamento da retina
Células ganglionares de
retina
Nova geração de MEA
Aplicação de ICA
FastICA
Detecção de spikes e ICA e
SOM
Melhoria dos dados para
FastICA
k-means e ICA
Sistema automático
Propagação do potencial de
ação
Microscopia de força atômica
Funcional – redes complexas
Aplicabilidade da ICA
Registro e detecção em
tempo real
Nanotubos de carbono
Comparação de eletrodos
Jimbo et al.
2015
Princípio de energia livre
Sardi et al.
2017
Pastore et al.
2018
Spanu et al.
2018
Princípio dos múltiplos
limiares para o neurônio
Identificação da inibição
excitatória dos neurônios
Monitoramento do
metabolismo neural através
de ferramenta orgânica
Tipo de células
Diversas
Tetrodo
Dodecaeletrodo
11.011 microeletrodos
MEA
MEA
MEA de alta densidade
MEA de alta densidade
Diversas
Macroeletrodos e
microeletrodos
Separação cega em
neurônios
Patch-clamp
Cardiomiócitos
Corticais
Corticais
MEA60 padrão
Corticais
Matriz OCMFET
Hipocampo
Capítulo 1 - Introdução
20
1.6 Sistemas de registros comerciais
Existem
atualmente
diversos
sistemas
de
registro
que
são
comercializados na forma de kits completos para cultivar os tecidos e células
dissociadas em MEA e realizar as suas medidas eletrofisiológicas. Um dos
principais fabricantes é a empresa MultiChannel Systems com sede na cidade
de
Reutlingen
na Alemanha
(SYSTEMS,
2015).
Um dos sistemas
comercializados por MCS é chamado de MEA60 Padrão, contendo 60
microeletrodos, sendo que 59 deles são utilizados para registrar os sinais
elétricos e um deles é a referência (GND ou terra). A MEA60 Padrão é
constituída de um substrato de vidro, semelhante a uma placa de Petri,
contendo em seu centro uma região circular delimitada pelo próprio vidro
capaz de conter a cultura, o meio de cultivo (líquido) e os microeletrodos que
são impressos sobre o vidro, através do processo de litografia de raios X. O
sistema MEA60 Padrão permite também a estimulação elétrica da cultura,
evocando os sinais das células cultivadas. O estudo dos sinais evocados é
muito interessante, pois permite estudar a função de transferência no domínio
temporal e no domínio da frequência complexa, características de cada rede
neural (POTTER, 2001, MORIN, TAKAMURA E TAMIYA, 2005, MARTINÓIA,
2015). O kit básico para experimentação em MEA inclui os seguintes itens:
a) Interface MEA onde se realiza a cultura e as conexões;
b) Dispositivos de manutenção das células cultivadas;
c) Pré-amplificador com 59 canais;
d) Multiplexadores capazes de restringir o número de amplificadores;
e) Filtros passa-banda, para limitar a banda passante e o nível de
ruído;
f) Amplificadores capazes de ampliar ainda mais os sinais, além dos
pré-amplificadores;
g) Placa de aquisição de sinais, que contém o conversor A/D;
h) Sistema gerador de sinais, para estimular eletricamente a cultura;
i) Computador com software para registro e processamentos dos
sinais.
Capítulo 1 - Introdução
21
j) Adicionalmente, pode-se acrescentar um microscópio confocal ou microscópio
óptico invertido acoplado a câmeras CCD ou fotomultiplicadores, com
finalidade de se obter imagens prévias das culturas e da configuração das
redes neurais formadas e suas conexões com os microeletrodos.
Um trabalho importante na pesquisa em MEA é estabelecer uma relação
entre a topologia da rede neural e os sinais elétricos produzidos por esta
mesma rede, e tem sido alvo de investigações através de diversos estudos,
como por exemplo o trabalho de Rodriguez (RODRIGUEZ E SAITO, 2015).
Na Figura 1.1 é mostrada uma configuração mínima necessária para efetuar
registros a partir da MEA60 padrão.
Figura 1.1: Componentes mínimos para um sistema de registro MEA em 60 canais.
A Figura 1.1 mostra os seguintes componentes: (a) Interface MEA, onde é inserida a
cultura de tecidos neurais ou neurônios dissociados que exibem atividade elétrica;
(b)Sistema de amplificação, frequentemente sendo montado dentro do próprio
soquete elétrico; (c) Controlador de temperatura, utilizado para manter a cultura
aquecida no soquete, na temperatura adequada para a vida das células, de 37ºC; (d)
Placa de Conversão de Sinais, que incorpora o conversor A/D e um chip de
comunicação com algum protocolo, preferencialmente USB (mas pode-se também
utilizar o barramento PCI dos computadores ou a porta Ethernet); e (e) Computador
com o software que faz o registro e o processamento dos dados captados.
Na Figura 1.2 é mostrada uma MEA com seus 60 eletrodos em (a) (à esquerda) e
em (b) (à direita) é mostrada uma ampliação referente à região central, com os
Capítulo 1 - Introdução
22
detalhes referentes aos microeletrodos no centro da MEA. Esta MEA, conhecida
como MEA Padrão, tem uma área de registro que varia entre 700x700 µ
a 5x5
, sendo escolhida no momento da compra pelo usuário. A distância entre os
microeletrodos pode variar dentre os seguintes valores: 100, 200 e 500 μ
diâmetro dos microeletrodos varia entre 10, 20 e 30 μ
e o
(BARRETO, 2015).
Quando cultivados sobre a região central da MEA, os neurônios se
interconectam entre si pela sua extremidade chamada axônio, em contato com a
superfície de outros neurônios numa região onde se localizam os dendritos das
demais células. A região de contato entre o axônio de um neurônio e os dendritos de
outros é chamada sinapse. O impulso elétrico é gerado no interior do corpo celular e
se propaga no ao longo do axônio até atingir a sua extremidade onde se encontra
uma sinapse. Sinapses são regiões que permitem a transmissão de sinais entre a
extremidade do axônio do neurônio pré-sináptico com o dendrito do neurônio póssináptico. Quando alguns neurônios, como os provenientes da região do córtex
cerebral são cultivados in vitro sobre a MEA, formam sinapses espontaneamente, e
também estabelecem conexões elétricas com os microeletrodos. A medição dos
sinais eletrofisiológicos na MEA permite estudar o comportamento de uma rede de
neurônios interconectados (MOLINA-LUNA, 2009).
Figura1.2: (a) MEA60, região central; (b) detalhe ampliado dos microeletrodos, ao
centro da MEA60.
Um experimento muito interessante foi proposto por Potter e Wagenaar: uma cultura
em MEA realiza o controle de um animal simulado na tela do computador PC
(chamado pelos autores do trabalho de Animat) (POTTER, WAGENAAR e
DEMARSE, 2006). O Animat, em ambiente virtual, passa a ser controlado pelos
Capítulo 1 - Introdução
23
impulsos elétricos provenientes da MEA e pode também enviar impulsos para esta,
através de um eletroestimulador. Um esquema para o experimento proposto é
se
ns
or
iam
en
to
mostrado na Figura 1.3.
Figura 1.3: Experimento proposto por Potter et al. Fonte: Adaptado de (POTTER,
WAGENAAR e DEMARSE, 2006).
Este experimento exemplifica o uso da MEA num sistema de controle em
malha fechada (closed loop). Aparentemente, trata-se apenas de uma proposta
teórica até o momento. Não existem relatos na literatura de que a equipe de Potter e
Wagenaar
tenham
de
fato
implementado
este
experimento
na
prática,
provavelmente devido às dificuldades de se obter uma cultura em MEA que seja
capaz de formar redes neurais capazes de exibir respostas de aprendizado. A
obtenção de um biochip em MEA, com cultura de tecidos provenientes de cérebro,
que seja capaz de estabelecer sinapses e reproduzir comportamentos aprendidos é
alvo de intensa pesquisa experimental (WAGENAAR, POTTER et al., 2005).
Capítulo 1 - Introdução
24
1.7 Considerações finais
Neste Capítulo foi apresentada uma introdução geral sobre esta tese,
incluindo uma breve revisão bibliográfica sobre os estudos de eletrofisiologia
utilizando MEA. O Capítulo 2, seguinte, refere-se aos fundamentos de neurônios, e
formas de medição do seu comportamento, em termos de spikes e bursts.
Capítulo 2
FUNDAMENTOS SOBRE NEURÔNIOS,
SISTEMA NERVOSO, SPIKES E BURSTS
CAPÍTULO 2 -
Este Capítulo está dividido em 5 seções. A primeira Seção aborda a morfologia e fisiologia
dos neurônios e células gliais de modo resumido; A Seção 2 faz uma revisão bibliográfica sobre as
características dos sinais elétricos em medidas intracelular e extracelular; a Seção 3 faz uma
apresentação sobre spikes e bursts. A Seção 4 apresenta uma aplicação prática de MEA como
biosensor utilizado na indústria farmacêutica e finalmente a Seção 5 apresenta as considerações
finais sobre este Capítulo.
2.1 Neurônios
O neurônio é a célula responsável pela produção e condução do impulso
nervoso, que é um impulso elétrico, cuja tensão e corrente elétrica são alvos das
medidas em Neurofisiologia. Os neurônios são as unidades básicas anátomofuncionais do cérebro e do sistema nervoso sendo que o ser humano possui cerca
de 100 bilhões dessas células (GUYTON, 2006). É constituído pelas seguintes
partes: corpo celular ou núcleo, axônio e dendritos. Na Figura 2.1 é representado
esquematicamente um neurônio, com destaque para as suas partes: (1) dendritos,
(2) corpo celular, (3) bainha de mielina, que recobre o axônio e (4) terminações dos
axônios, que efetuam as conexões sinápticas.
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
26
Figura 2.1: Diagrama ilustrativo de um neurônio. Em (1) são ilustrados os dendritos,
em (2) o núcleo da célula, no interior do citoplasma, em (3) a bainha de mielina que
recobre o axônio e em (4) a porção final do axônio, que realiza conexões elétricas
com dendritos de outros neurônios. Fonte: Adaptado de (PURVES, 2010).
O axônio é um prolongamentodo corpo celular e transmite o impulso nervoso
vindo do corpo celular (ou núcleo) e os dentritos são prolongamentos numerosos e
curtos do corpo celular, que recebem as mensagens vindas dos axônios de outros
neurônios. Sinapses são pontos de encontro entre as extremidades (axônios e
dendritos) de neurônios vizinhos. A sinapse é constituída pela membrana présináptica, fenda sináptica e membrana pós-sináptica (GUYTON, 2006). Os sinais
são transportados através das sinapses com a participação de substâncias químicas
chamadas neurotransmissores, dentre os quais destacam-sea serotonina, a
dopamina, a acetilcolina, o glutamato, o aspartato, a noradrenalina e as endorfinas.
A falta ou o excesso da concentração dos neurotransmissores nas fendas sinápticas
é causa de inúmeras patologias que são tratadas pela Psiquiatria, como por exemplo
a depressão. Depressão é frequentemente causada pela falta de serotonina nas
fendas sinápticas e as drogas que agem contra a depressão aumentam a
recaptação de serotonina e dopamina dos neurotransmissores (GUYTON, 2006).
Um neurônio em repouso apresenta uma diferença de potencial entre o seu
citoplasma e o líquido extracelular, criada devido ao acúmulo de íons potássio (K+) e
sódio (Na+), que ocorre pela ação de mecanismos celulares denominados "bombas"
os quais criam uma diferença de concentração. Esta diferença de concentração é
controlada por canais de K+ e de Na+, gerando uma tensão negativa (em torno 58mV no interior de neurônios humanos), que pode variar entre espécies. Esse
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
27
estado de polarização do neurônio permanece até que um potencial de ação abra os
canais de K+ e de Na+, alterando a concentração iônica. Esta mudança gera uma
ddp (diferença de potencial) positiva no interior do neurônio, em torno de +40mV
(dependendo da espécie). Este desequilíbrio gera um efeito cascata, que é o
potencial de ação. Usualmente o potencial de ação inicia na extremidade próxima do
axônio, durando cerca de 1ms e se propaga até as fendas sinápticas, gerando a
descarga de neurotransmissores (GUYTON, 2006).
Esses neurotransmissores
atuam sobre as fendas sinápticas de modo a possibilitar a abertura ou fechamento
dos canais iônicos. Após ocorrer o potencial de ação, imediatamente os canais de
K+ e de Na+ começam a restabelecer o equilíbrio anterior, com uma tensão elétrica
negativa no interior do neurônio e positiva no exterior da célula. O neurônio
necessita de um intervalo de tempo em torno de 1ms para reconstituir seu estado de
pré-descarga, e durante este tempo não consegue efetuar outro potencial de ação.
Este período de latência chama-se período refratário. Logo em seguida, o neurônio
restabelece sua capacidade para efetuar outro potencial de ação, reiniciando um
novo ciclo (PURVES, 2010).
O tecido nervoso é composto também por outras células. Um outro tipo
celular muito presente é a glia, também chamada de neuroglia, célula neuroglial ou
gliócitos. Os gliócitos são células não-neurais que fornecem suporte mecânico,
defesa e nutrição aos neurônios. Antigamente acreditava-se que, apesar das células
da glia aparecerem em quantidade 9 vezes maior do que os neurônios, sua função
era apenas alimentar os neurônios e fixá-los mecanicamente em suas posições.
Entretanto, sabe-se atualmente que as células da glia são capazes de modificar os
sinais nas fendas sinápticas entre os neurônios, fornecem oxigênio e nutrientes,
isolam um neurônio do outro, destroem germes invasores e removem células
mortas. As células neurogliais mantêm também a homeostase do tecido nervoso,
formam mielina e participam na transmissão de sinais no sistema nervoso, podendo
influenciar o local da formação das sinapses. Podem ser essenciais para o
aprendizado e para a construção de lembranças, além de importantes na
recuperação de lesões neurológicas (JUNQUEIRA E CARNEIRO, 2004).
Ao contrário das demais células,os neurônios sob condições normais não tem
a capacidade de se reproduzir, após a fase de formação e crescimento (em
laboratório esta reprodução é possível). Um carcinoma no cérebro é frequentemente
um tumor de células da glia, pois estas se reproduzem. As células da glia são
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
classificadas em micróglias e macróglias (MAHER, 1999).
28
As micróglias são
macrófagos especializados, capazes de fagocitose, protegendo os neurônios. São
as menores de todas as células gliais e correspondem a 15% de todas as células do
tecido nervoso. As células ependimárias e as células de Schwann constituem as
microglias. As macróglias podem ser: astrócitos, oligodendrócitos e os glioblastos,
cada uma com funções específicas (GUYTON e HALL, 2006). O neurônio cuja
terminação do axônio se conecta ao corpo ou ao dendrito de outro neurônio é
chamado neurônio pré-sináptico, e é responsável por enviar o impulso elétrico
nervoso. Um único neurônio pode fazer algumas unidades de conexões, até
centenas de milhares de conexões. Neurônio pós-sináptico é o nome dado ao
neurônio que recebe a conexão de outro neurônio, o neurônio pré-sináptico
(KANDEL, SCHWARTZ et al., 2000).
2.2 Características dos sinais elétricos dos neurônios
Para o projeto do sistema de registro devem ser consideradas primeiramente as
características dos sinais elétricos a serem amplificados. Duas informações
importantes sobre estes sinais são: (1) amplitude pico-a-pico e (2) período de tempo
dos sinais. A amplitude serve para se determinar o ganho necessário dos
amplificadores e o período de tempo destina-se ao cálculo correto da taxa de
amostragem do Conversor A/D (Analógico-para-Digital) e da velocidade de resposta
(slew rate) dos Amplificadores Operacionais (AO).
Neurônios de hipocampo, por exemplo, apresentam menores amplitudes de
sinais elétricos em comparação com outros tipos de células. Um típico sinal elétrico
gerado pelo disparo de um neurônio de hipocampo de rato da espécie Wistar é
mostrado na Figura 2.2.
O potencial de repouso é da ordem de -70mV. Quando o neurônio dispara,
após ultrapassar o limiar (threshold) de -50mV, o potencial elétrico pode atingir o
valor de +10mV, retornando logo a seguir ao valor de repouso (-70mV). A Figura 2.2
corresponde ao registro do potencial elétrico intracelular do neurônio em função do
tempo. Os níveis de tensão tem amplitude da ordem de 80mV p-p e ocorrem dentro
das junções axônio/dendritos (sinapses).
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
29
Figura 2.2: Impulso elétrico proveniente de um neurônio de hipocampo de rato
Wistar. Quando em repouso o sinal elétrico situa-se entre -70 e -50mV; quando
ocorre um disparo, este sinal aumenta de nível até cerva de +10mV, invertendo sua
polaridade e à seguir, retorna ao nível basal de repouso. Fonte: adaptado de
(LEWANDOWSKA, 2015).
O potencial extracelular, captado pelos eletrodos da MEA costuma ser de
muito menor amplitude para neurônios de hipocampo e de gânglios dorsais
(MARTINÓIA, 2015). Uma típica variação de potencial extracelular, captada por um
microeletrodo da MEA, para uma cultura de neurônios de gânglios dorsais de ratos
da espécie Wistar é mostrada na Figura 2.3. Observa-se no eixo das ordenadas do
gráfico, a amplitude extremamente pequena dos sinais extracelulares captados
através
da
MEA:
os
sinais
registrados
variam
de
-30µV
até
+30µV
aproximadamente. Na Figura 2.3, mostra-se em vermelho a curva simulada e, na
cor preta, os dados medidos pelo equipamento de registro. A conclusão é que os
potenciais extracelulares possuem amplitudes muito menores, exigindo elevados
ganhos nos circuitos amplificadores. Um ganho mínimo de amplificação da ordem
de 1000 vezes é necessário para registrar sinais como os mostrados na Figura 2.3,
que mostra na cor preta a curva real registrada e na curva vermelha, a curva prevista
pelo modelo teórico (KLISCH et al., 2009, SAITO et al., 2013). Nota-se também que
a curva em vermelho se assemelha à derivada segunda da função degrau. Isto se
deve à maneira pela qual os equipamentos efetuam os registros destes sinais, pois
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
30
se realacionam com as curvas de carga dos capacitores utilizados nos circuitos
eletrônicos de acoplamento dos amplificadores.
Figura 2.3: Registro do potencial extracelular de neurônios de gânglio dorsal de ratos
Wistar. Em vermelho, a curva teórica e em preto, o sinal registrado. Nota-se que o
sinal em vermelho se assemelha à curva obtida à partir da derivada segunda da
função degrau. Isso ocorre em função da maneira com que os equipamentos efetuam
os registros dos sinais, pois tais registros dependem da carga elétrica dos
capacitores usados no acoplamento dos circuitos amplificadores.
Fonte: Adaptado de (MARTINOIA, 2015).
Um outro tipo de célula de interesse para se cultivar em MEA é o
cardiomiócito, que é também chamado fibroblasto do tecido cardíaco. Estas são as
células que formam o tecido do coração, e podem também ser extraídas de
embriões de ratos.
Na Figura 2.4 percebe-se que o potencial de repouso é
praticamente igual ao potencial intracelular dos neurônios (-70mV) mas excursiona
do repouso até +20mV e a seguir se estabiliza (durante o chamado "período
refratário") em cerca de -80mV, retornando depois ao nível basal de -70mV. Este
fato pode ser observado pela curva marcada com a letra C, transmitida para uma
outra célula distante do primeiro fibroblasto, provocando também o seu disparo. O
disparo deste segundo fibroblasto é mostrado pela curva F, cuja tensão excursiona
entre -45mV e zero volts (CAMELLITI, 2005; ISRAEL et al., 1984).
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
31
Figura 2.4: Sinais elétricos provenientes de dois cardiomiócitos (fibroblastos). A
curva C mostra um cardiomiócito com nível basal em torno de -70mV, que dispara
um pulso elétrico atingindo o nível de +20mV e à seguir retorna à condição de
repouso. A curva F mostra outro cardiomiócito cujo nível de repouso situa-se em
torno de -45mV e se eleva até cerca de 0 volts antes de retornar ao nível basal.
Fonte: Adaptado de (CAMELLITI, 2005).
Na Figura 2.4 pode-se perceber que o fenômeno completo ocorre em tempo da
ordem de um segundo. Isso leva a pensar que uma frequência de amostragem da
ordem de 1ksps (1000 amostras por segundo) é suficiente para um bom registro de
sinais de cardiomiócitos, como os mostrados na Figura 2.4. Entretanto, existem
spikes (como os mostrados na Figura 2.3) que ocorrem em intervalos de tempo
menores (MARTINOIA, 2004; MASSOBRIO, 2004), portanto, os equipamentos
comerciais fabricados e vendidos por (SYSTEMS, 2015) tem frequência de
amostragem típica de 5 ksps por canal, com a finalidade de registrar de maneira
precisa essas transições (DEMOTT, 1960).
Como o equipamento a ser desenvolvido deverá registrar sinais provenientes
de 60 canais, então, a menor frequência de amostragem do ADC desejada neste
projeto seria igual a 5x60=300 ksps. Entretanto, este valor ainda não é elevado o
suficiente. O Conversor A/D precisa amostrar com frequências maiores porque é
preciso levar em conta também o tempo de mudança entre um canal e outro (tempo
de chaveamento do multiplexador), tempo este que deve ser multiplicado por 60
para uma varredura completa de todos os canais da MEA.
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
32
2.3 Spikes e bursts
Os sinais resultantes de potenciais de ação de neurônios e grupos de
neurônios são chamados spikes. Os sinais elétricos registrados em MEA possuem
picos e outras partes sem atividade elétrica, denominadas ruído biológico.
Atualmente, acredita-se que o ruído biológico seja importante e contribua também
para amplificar o sinal, pois o ruído biológico gerado se mistura com os potenciais de
ação de neurônios de regiões mais distantes, amplificando-os através do fenômeno
de ressonância estocástica (RE).
Um dos objetivos dos softwares que fazem análise de sinais neurofisiológicos
é a detecção automática de spikes. Os softwares calculam o desvio padrão do ruído
biológico da atividade basal da cultura, e para se detectar um spike é necessário
definir um limiar (threshold) que é um múltiplo desse desvio padrão, conforme
mostrado esquematicamente na Figura 2.5. Esta Figura mostra em (a) uma variação
de amplitude pequena, que não é considerada um spike, pois não ultrapassa o valor
médio da amplitude mais algumas vezes o desvio padrão deste valor médio. Na
mesma Figura 2.5, em (b) é mostrada uma variação de amplitude que corresponde
a um spike, pois ultrapassa o valor médio do sinal somado a algumas vezes seu
desvio padrão. O número de desvios padrões que correspondem a uma detecção de
spike é da ordem de 5 a 10, conforme a literatura. Uma sequência de 5 a 10 spikes
cuja duração é calculada como sendo a soma de todos os intervalos de tempo entre
spikes contidos neste conjunto é denominada burst. Os programas de computador
permitem definir a quantidade mínima de spikes que caracterizam um burst. Spikes
temporalmente muito próximos entre si pertencem a um mesmo burst. Os softwares
permitem também definir qual o espaçamento temporal mínimo para considerar se o
spike é pertencente a um determinado burst ou refutar esta hipótese. Intervalos entre
bursts tem duração mínima de 100ms tipicamente, podendo variar segundo o tipo de
cultura de neurônios (RODRIGUEZ, 2012). São parâmetros importantes para o
estudo dos sinais, com relação aos spikes (MARTINOIA, 2004):
a) número total de spikes;
b) quantidade de spikes que formam bursts;
c) porcentagem de spikes aleatórios;
d) ISI, frequência média de spikes em um burst (spikes/segundo).
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
33
Figura 2.5: Um múltiplo inteiro da amplitude de tensão do desvio padrão da atividade
basal é considerado um spike. Em (A) pode-se observar um spike aproximadamente
igual ao dobro da média do rúido e em (B) outro spike, de maior amplitude,
aproximadamente 4 vezes maior do que o nível de ruído médio. Fonte: (RODRIGUEZ,
2012).
Com relação aos bursts, os parâmetros relevantes são:
a) taxa média de bursts (bursts/minuto);
b) número total de bursts;
c) média de spikes por bursts;
d) duração dos bursts (ms);
e) IBI, intervalo entre bursts (segundos).
Uma janela mostrando um único canal do sinal registrado em MEA padrão é
ilustrada na Figura 2.6 (NEURORIGHTER, 2005). Nesta Figura 2.6, os spikes estão
marcados com um sinal "+".
Os softwares devem reproduzir trechos da janela ampliados com a finalidade
de melhorar o estudo das amplitudes dos spikes e dos intervalos entre eles,
conforme mostrado na parte destacada à direita na Figura 2.6.
Capítulo 2 - Fundamento
tos sobre neurônios, sistema nervoso, spik
ikes e bursts
34
Figura 2.6: O regis
istro de um único canal é mostrado no lado esq
squerdo, com
ampliação do trech
cho apontado pela seta, à direita. Fonte: (WAGE
ENAAR, 2005).
Os softwares que
ue fazem o processamento de sinais ad
dquiridos a partir da
interface MEA precisam
m identificar automaticamente os spikes e medir os intervalos
de tempo ISI (Intervalo
lo entre spikes) e IBI (Intervalo entre bursts) nos sinais
registrados. A janela ilu
ilustrada na Figura 2.6 pertence ao software
so
Neurorighter
(NEURORIGHTER, 2005
05). Outros exemplos de softwares para
ra processamento de
sinais em MEA são o Me
eaBench (MEABENCH, 2013) e o McRac
ack (MCRACK, 2013),
estes dois últimos sendo
do open-source e gratuitamente disponib
ibilizados na Internet,
porém adaptados aos hardwares
h
desenvolvidos por seus aut
utores. A informação
transmitida pelos neurôn
ônios está justamente no número de spik
pikes e nos intervalos
ISI e IBI (WAGENAAR
R, 2004). Neste sentido, os neurônioss se assemelham a
moduladores do tipo PWM (Pulse Width Modulator) utiliz
lizados em circuitos
eletrônicos (FAMBRINI,, 2014). Na Figura 2.7 é mostrado um e
esquema que ilustra
este conceito. Esta mesm
sma Figura 2.7 mostra esquematicamente
te spikes, bursts e os
intervalos ISI e IBI (WAG
AGENAAR, 2002).
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
35
Figura 2.7: Esquema ilustrando IBI (intervalo entre bursts) e ISI (intervalo entre
spikes). O primeiro burst, à esquerda, contém 6 spikes e apresenta um intervalo ISI
entre os spikes. O segundo burst apresenta 4 spikes, com mesmo intervalo ISI entre
os spikes. O intervalo de tempo entre o primeiro burst e o segundo é chamado IBI,
como mostrado na figura.
O estudo dos padrões de emissão de spikes e bursts é muito importante
também para o projeto e construção das Interfaces Cérebro-Máquina (BCI, BrainComputer Interfaces, em inglês).
2.4 Exemplo de aplicação prática
Uma das importantes aplicações da MEA é na indústria farmacêutica.
Experimentos ligados a testes de segurança de medicamentos são feitos em
culturas de células nervosas usando-se MEA. Em neuroendocrinologia, a MEA pode
ser utilizada para fazer estudos sobre a obesidade. Um estudo deste tipo é descrito
resumidamente a seguir. Sabe-se que o hipotálamo é um dos principais centros do
cérebro que regulam a fome (GUYTON E HALL, 2006). Na Figura 2.8 é mostrada
uma fatia de hipotálamo de rato cultivada em uma MEA de 64 eletrodos em matriz
8x8. Vários registros de sinais elétricos foram feitos simultaneamente a partir de
locais diferentes na fatia (isso explica a necessidade de se usar vários eletrodos ao
invés de um único). Depois de gravar uma taxa estável de disparos para cada
eletrodo, 0,1 micro-Mol de Ghrelin (um hormônio produzido pela parede do
estômago e pelo hipotálamo, que estimula o apetite) foi adicionado à MEA. No
intervalo entre 24 e 40 segundos alguns eletrodos registraram um aumento na taxa
de disparo e outros diminuíram sua taxa de disparo em função da aplicação do
hormônio. Após a fatia ser lavada (para remover o hormônio) a taxa de disparo
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
36
novamente se estabilizou. Na Figura 2.8 pode-se observar que os eletrodos que
registraram aumento no número de spikes no intervalo de tempo compreendido
entre 24 e 40 segundos são dos eletrodos que se encontram nas regiões B, C, E e
F, região na qual foi aplicado o hormônio em teste.
Figura 2.8: Registros elétricos feito em 6 eletrodos em fatia de hipotálamo de rato. Na
Figura central cada ponto marcado com A, B, C, D, E e F é um microeletrodo.
Fonte:(WHITSON et al, 2006).
Os eletrodos localizados nas regiões A e D, mais distantes do ponto de
aplicação do hormônio, tiveram significativa redução da taxa de spikes/segundo.
Exemplifica-se assim o funcionamento da MEA como um biosensor para testes in
vitro de drogas com ação sobre o sistema nervoso.
Capítulo 2 - Fundamentos sobre neurônios, sistema nervoso, spikes e bursts
37
2.5 Considerações Finais
Neste Capítulo foi apresentada uma breve revisão sobre sistema nervoso,
neurônios, spikes, bursts e os parâmetros importantes que devem ser medidos nos
sinais registrados a partir da MEA. Uma aplicação da MEA como biosensor na
indústria farmacêutica foi também descrita. No Capítulo seguinte será descrito o
hardware desenvolvido pelo autor para registro dos sinais em MEA, apresentando
detalhes a respeito de seu projeto eletrônico.
Capítulo 3
CAPÍTULO 3 -
PROJETO DO SISTEMA DE REGISTRO
CONVENCIONAL USADO EM MEA
Neste Capítulo é apresentada uma proposta de projeto e construção de um equipamento
para registro de sinais eletrofisiológicos em MEA desenvolvido pelo autor, sem a parte de ressonância
estocática. A descrição refere-se aos módulos de pré-amplificação, amplificador, multiplexadores,
conversores AD e Software de aquisição de dados.
3.1 Diagrama de blocos
O diagrama de blocos referente aos subsistemas abordados neste Capítulo é
mostrado na Figura 3.1.
Figura 3.1: Diagrama de blocos ilustrando os subsistemas descritos neste Capítulo.
O bloco (1) ilustra a MEA e o bloco (2) o Soquete elétrico; O bloco (3) ilustra o
Módulo amplificador, que faz o condicionamento dos sinais. O bloco (4) mostra o
Módulo Digitalizador com 60 canais. Estes dois últimos blocos são montados
externamente ao Soquete elétrico.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
39
3.2 Módulo Pré-Amplificador
O Módulo Pré-Amplificador, contendo 59 canais de Pré-amplificadores foi
simulado, desenvolvido e testado. Este módulo é montado no interior do soquete
que recebe a MEA, minimizando a captação de ruídos. O diagrama esquemático,
obtido com o uso do simulador do tipo Spice MultiSim, referente a um canal do
amplificador é mostrado na Figura 3.2.
Figura 3.2: Diagrama esquemático de um único canal pré-amplificador, em
simulação. Um circuito integrado do tipo OPA2376 é usado na configuração não
inversor.
O circuito da Figura 3.2 foi reproduzido 59 vezes numa mesma placa de
circuito impresso, montada no interior do soquete que recebe a MEA. O ganho DC é
dado pelos resistores na malha de realimentação e calculado pela equação (3.1):
= 1+
1
300
= 1+
3,3
2
= 92
(3.1)
Além disso, o ganho AC deste módulo pré-amplificador é dado, no domínio da
Transformada de Laplace, pela equação (3.2):
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
40
(3.2)
onde: C1 = 4,7uF, C2=100pF, R1=R2=3,3K e R3=300K e s é a frequência
complexa. Um resultado de saída do circuito, quando um sinal senoidal é aplicado
na entrada é mostrado na Figura 3.3. A senóide de amplitude de 70 mV é
amplificada cerca de 90 vezes sem distorção.
Figura 3.3: Sinal senoidal é aplicado na entrada (1) e o resultado é visto na saída (2).
Este ganho foi escolhido experimentalmente (a partir dos testes no protótipo
físico) em função da melhor estabilidade possível para os módulos préamplificadores. Em princípio, deseja-se que o estágio pré-amplificador tenha o maior
ganho possível, porém na prática isso acarreta problemas com realimentação e
oscilações parasitas. O maior valor de ganho que possibilita um funcionamento
estável para este amplificador é da ordem de 90 vezes (ou 19,5 decibéis). Para
ganhos maiores, o protótipo mostra tendências de auto-oscilação, comprometendo o
funcionamento do pré-amplificador. Tais fenômenos de auto-oscilação não são
percebidos nos simuladores (LTSpice e MultiSim) pois decorrem de imperfeições na
própria placa de circuito impresso onde o protótipo físico é montado. A capacitância
parasitária das trilhas de circuito impresso, responsáveis pelas auto-oscilações em
amplificadores de elevado ganho, não são fáceis de simular. Como circuito integrado
pré-amplificador foi escolhido o modelo OPA2376, fabricado pela Texas Instruments
(TEXAS, 2000). Trata-se de um amplificador operacional duplo (cada invólucro
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
41
contém internamente 2 amplificadores) de baixíssimo nível de ruído, baixo valor de
off-set, entradas e saídas do tipo rail-to-rail e capaz de funcionar com tensões de
alimentação tão baixas quanto 2,5V. Outro detalhe importante ao se projetar um
amplificador para sinais AC de baixa intensidade é o uso de capacitores para
compensação de altas frequências. Quando um sinal de alta frequência
indesejado é captado na entrada do amplificador, pelo "efeito antena" proporcionado
pelas trilhas de circuito impresso, ele será amplificado. Evidentemente, este sinal é
indesejável, porque não provém da MEA e nem dos neurônios. Então, um capacitor
C3 é acrescentado, para reduzir o ganho do amplificador todas as vezes que um
sinal de frequências maiores do que as esperadas surgir na entrada do amplificador.
Pode-se observar, também, que o capacitor de compensação de altas
frequências C3 se encontra ligado em paralelo com o resistor R1, de 300k, e o
ganho do estágio amplificador passa a ser dado pela equação (3.3):
onde
=1+
//
(3.3)
1// !" representa o valor da associação em paralelo entre o resistor
R1 e a reatância do capacitor C3. Como a reatância do capacitor, Xc, é dada pela
equação (3.4), o ganho do amplificador diminui à medida que a frequência do sinal
de entrada aumenta, pois a reatância é inversamente proporcional à frequência,
conforme demonstrado na equação (3.4):
!=
#$%
(3.4)
onde: f representa a frequência (em hertz) do sinal aplicado e C é o valor da
capacitância, em farads. Experimentalmente, um valor de C3 igual a 100pF
possibilita uma ótima estabilidade para o amplificador, reduzindo a zero o risco de
oscilações em altas frequências, sem prejudicar o ganho de amplificação do sinal na
faixa de frequência dos sinais eletrofisiológicos. Este capacitor também ajuda a filtrar
os sinais de frequências mais altas, formando um filtro passa-baixas de um pólo
adicional (HOROWITZ, 1989), ajudando a evitar os efeitos de aliasing no conversor
A/D, devido à sub-amostragem. Em cada uma das 59 entradas do estágio préamplificador foi acrescentada uma chave do tipo "dip-switch" cuja função é, quando
acionada, conectar a entrada do canal ao terra e anular o canal propositalmente.
Essas chaves são úteis porque nem sempre se deseja trabalhar com todos os 59
canais disponíveis, e conectando os canais que não são utilizados ao terra, minimiza
ruídos.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
42
3.3 Tensões negativas em relação ao Terra virtual
Ainda existe um outro problema a ser resolvido. Quando as tensões de
entrada são negativas, como mostrado na Figura 3.3, as tensões na saída do
amplificador são também negativas. Na simulação, quando se aplica -70mV na
entrada do amplificador, pode-se medir na saída o valor -6,44 V. Isso é um problema
para o próximo estágio, o conversor analógico-digital (AD), pois os ADs geralmente
não funcionam com tensões negativas em suas entradas. Os valores analógicos
aplicados nas entradas do AD (a serem convertidos em números binários) precisam
ser necessariamente positivos. Valores negativos de tensão, quando aplicados às
entradas dos conversores ADs, são automaticamente cancelados pelos diodos
internos de proteção que existem nessas portas, como mostrado na Figura 3.4.
Figura 3.4: Circuito interno de proteção de entrada do ADC do microcontrolador
PIC12F683. Este circuito de proteção com os diodos D1 e D2 existe nas entradas de
todos os ADCs, e impede a leitura de sinais de tensões negativas. Fonte:
(MICROCHIP, 2015).
Estes diodos, como mostrados na Figura 3.4, geralmente fazem parte de
entradas de todos os ADCs (MICROCHIP, 2017; TIVA, 2012) e tem por função
protegê-los de tensões erroneamente aplicadas em suas entradas. Para resolver
esse problema, uma solução bastante simples foi estudada e implementada em cada
estágio pré-amplificador (FAMBRINI, BARRETO e SAITO, 2015). O deslocamento
da tensão de off-set do amplificador operacional da região negativa para região de
valores somente positivos na saída foi implementado através da adição do capacitor
C2 de 4,7µF como ilustrado na Figura 3.5. De fato, a colocação deste capacitor na
malha de realimentação eleva a tensão de off-set para valores positivos e torna os
pré-amplificadores compatíveis (em nível de tensão) com as entradas dos
conversores AD, eliminando os valores negativos do sinal, sem acrescentar
distorção significativa aos sinais provenientes da MEA.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
43
Figura 3.5: Simulação do circuito de um canal do pré-amplificador. Em paralelo com
o capacitor C2 foi acrescentada uma chave, que quando acionada provoca um curtocircuito neste capacitor.
Na Figura 3.5 é mostrado novamente o circuito de um dos 59 canais do préamplificador, mas uma chave (S1) foi acrescentada em paralelo com o capacitor C2.
Esta chave S1 foi acrescentada somente na simulação, não existindo no protótipo
implementado. O capacitor C2 é eliminado quando a chave S1 é ligada e recolocado
quando a chave S1 é desligada. Na Figura 3.6 é mostrada a forma de onda da Saída
1, com a chave S1 ligada (sem o capacitor C2), quando é aplicada na Entrada 1 uma
senóide simétrica à terra, com amplitude igual a 100μ' e frequência igual a 50Hz.
Observa-se que o nível de tensão de off-set da saída do amplificador é o mesmo
nível do terra, que corresponde a zero volts (linha central do gráfico).
Figura 3.6: Forma de onda na saída do circuito pré-amplificador mostrado na Figura
3.5, com a chave S1 ligada (sem o capacitor C2). Observa-se a simetria da onda
senoidal em relação à linha de terra, central. O sinal de saída apresenta semi-ciclos
positivos e negativos. Cada divisão no eixo vertical representa 10 mV.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
44
Quando a chave S1 é desligada, o capacitor C2 passa a compor a malha de
realimentação do amplificador operacional, deslocando o nível de tensão de off-set
da saída do amplificador operacional para aproximadamente +20mV. O resultado da
mesma simulação, mas com o capacitor C2 no circuito (chave S1 desligada) é
mostrado na Figura 3.7. Pode-se observar nessa Figura o efeito do capacitor C2 no
circuito mostrado na Figura 3.5, com todo o sinal amplificado na região positiva,
como se uma constante de +20mV tivesse sido somada aos pontos do gráfico da
Figura 3.6. Este deslocamento de valores é fundamental para que o sinal
proveniente da saída de todos os 59 estágios possa ser corretamente quantizado e
digitalizado pelo ADC, que pertence a um estágio mais a frente do mesmo
equipamento. A solução implementada é simples e eficiente, com o custo de apenas
um capacitor por canal no módulo pré-amplificador. A Figura 3.7 está na escala de
20 mV por divisão no eixo vertical e a Figura 3.6 apresenta escala de 10 mV por
divisão no mesmo eixo.
Figura 3.7: Resultado da simulação do circuito mostrado na Figura 3.5, porém com a
chave S1 desligada (com capacitor C2). Observa-se o deslocamento do nível de
tensão de off-set. Cada divisão no eixo vertical representa 20 mV.
3.4 Fonte de Alimentação Simétrica a partir de uma fonte simples
A tensão de alimentação dos pré-amplificadores é efetuada através de uma
fonte de alimentação simétrica de saídas +2,5V / zero / -2,5V. Entretanto, tal fonte
não é fácil de se obter através de uma única bateria. A solução foi criar mais um
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
45
circuito adicional, capaz de prover um "Terra Virtual", em relação ao qual é possível
obter +2,5V e a tensão negativa de -2,5V. O circuito que produz o "Terra Virtual"
para alimentação dos 59 canais de pré-amplificadores também foi implementado na
mesma placa de circuito impresso no qual o módulo pré-Amplificador ficou alojado.
Na Figura 3.8 é mostrado o circuito que produz o “Terra Virtual” para alimentar os 59
módulos. As simulações foram efetuadas com o software TINA (TINA, 2013) e
também através do software Multisim (MULTISIM, 2015). A vantagem do uso deste
circuito é permitir obter tensões simétricas de +2,5V e -2,5V a partir de uma única
fonte de 5V. A tensão (única) de 5V que pode ser obtida a partir de uma bateria de
12V e de um circuito integrado regulador de tensão do tipo 7805. O uso de bateria,
ao invés de rede elétrica, para minimizar o ruído neste tipo de equipamento é
impositivo. Fontes de alimentação a partir da rede elétrica nunca são perfeitamente
filtradas, existindo sempre ruído residual de 60Hz.
Figura 3.8: Fonte de Terra Virtual, construída a partir de um CI do tipo OPA4376.
A Figura 3.9 mostra uma das visões do lay-out da placa de circuito impresso
que foi desenvolvida em tecnologia multi-layer, para alojar os circuitos dos 59 préamplificadores e da fonte de Terra Virtual (FAMBRINI BARRETO e SAITO, 2015). O
lay-out mostra algumas faces da placa de circuito impresso do módulo préamplificador. Ao centro do lay-out, pode-se observar o espaço onde se soldam os
terminais do tipo "agulha" que fazem o contato elétrico com os PADs da MEA, bem
Capítulo 3 - Projeto do Sistema
Si
de Registro Convencional usado
oe
em MEA
46
como o quadrado escuro
uro onde a MEA é encaixada. Embora o autor tenha tentado
evitar o uso de placas multi-layer
m
(com muitas faces) com a fina
inalidade de reduzir o
custo do equipamento,, tal uso foi inevitável: em função da gra
rande quantidade de
conexões elétricas entre
re os componentes (esta placa reproduz 59 vezes o circuito
da Figura 3.5), o uso de
d uma placa de circuito impresso do
o tipo multi-camadas
tornou-se imprescindíve
vel no pequeno espaço disponível no interior do soquete
elétrico. Na Figura 3.10
0 é mostrada mais uma visão do lay-out desta
d
mesma placa,
mostrando outras camad
adas com suas conexões em circuito impr
presso. Em verde, ao
centro, na parte superio
rior, destaca-se o espaço destinado às chaves do tipo dipswitch que, conforme já
á explicado,
e
tem por finalidade desativar canais
ca
não utilizados,
individualmente. Apesar
ar desse destaque apenas na parte sup
perior, essas chaves
estão presentes nos qua
atro lados do quadrado.
Figura 3.9: Vista do lay-out
la
da placa de circuito impresso que aloja
ja os 59 canais de
pré-amplificadores.
A Figura 3.11 mos
ostra uma fotografia da placa de circuito iimpresso do módulo
pré-amplificador, vista a
através da face dos componentes, ou face
fa
inferior, após a
soldagem dos compone
nentes com encapsulamento SMD. A Figura
Fig
3.12 mostra a
placa alojada dentro do gabinete
g
que foi desenvolvido como soqu
quete. A finalidade do
Capítulo 3 - Projeto do Sistema
Si
de Registro Convencional usado
oe
em MEA
47
soquete mecânico é de
e propiciar o suporte mecânico da MEA e também funcionar
como blindagem contra
a campos eletromagnéticos provindos do
o meio-ambiente. Por
questões de espaço, to
todos os componentes utilizados são de
e tecnologia SMD. É
importante
observar
que
as
dimensões
mecânicas
de
desta
placa
foram
cuidadosamente planejad
jadas para encaixar no soquete, conforme
e mostrado na Figura
3.12. A confecção do conjunto
co
foi resultado de um minucioso ttrabalho, que exigiu
precisão e exatidão no e
encaixe de todos os componentes.
Figura 3.10: Outra v
visão do lay-out da placa de circuito impresso
o multi-layer do
Módulo Pré-Amplificador.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
Figura 3.11: Placa de circuito impresso multi-camadas do módulo Pré-amplificador,
vista pelo lado dos componentes, ou lado inferior.
Figura 3.12: Placa do Pré-Amplificador alojada no interior do soquete mecânico.
48
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
49
3.5 Descrição dos blocos que integram o Digitalizador
O Conversor AD foi baseado nos CIs MCP3028.
Os 8 circuitos integrados modelo MCP3208 são dispostos na entrada do
sistema Digitalizador, como mostrado na Figura 3.13 e no diagrama de blocos da
Figura 3.14(A), e são precedidos por amplificadores PGA (Programable Gain
Amplifier) que no caso deste projeto foram ajustados para ganho de tensão DC de
11 vezes, o que equivale a 10,4 decibéis. Cada um destes 8 CIs conversores AD são
configurados de acordo com a Tabela 3.1 e tem seus sinais lidos por meio de um
circuito integrado FPGA do fabricante ALTERA, modelo EP2C5 Cyclone II, ilustrado
na Figura 3.14(B) no diagrama de blocos do subsistema Digitalizador. Um código
em linguagem VHDL (mostrado no Apêndice I) foi também desenvolvido e gravado
na memória de configuração deste FPGA. A seguir, o sinal lido pelo FPGA é
aplicado também através de uma porta SPI para um mini-computador embarcado do
tipo Orange PI, mostrado na Figura 3.14(C) através de um bloco. Os oito circuitos
integrados do tipo MCP 3208 foram montados em uma placa de circuito impresso de
dupla-face, especialmente confeccionada para esta finalidade, através de um
processo manual. Na Figura 3.13 é mostrada a placa do Conversor AD, contendo os
8 CIs modelo MCP3208.
Figura 3.13: Placa do Conversor Analógico/Digital, com 64 entradas, construídos a
partir de 8 circuitos integrados MCP3208. Cada CI apresenta 8 entradas
multiplexadas e controlados através de entradas do tipo SPI, conforme descrito no
texto.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
50
Figura 3.14: Diagrama de blocos do Conversor AD e condicionador de sinais,
descritos neste Capítulo. Em conjunto, estes blocos formam o Digitalizador.
3.6 Circuito integrado Conversor AD
O circuito integrado escolhido para exercer a função de Conversor AD neste
projeto é fabricado por Microchip e seu código é MCP3208, cujo aspecto é mostrado
na Figura 3.15. Trata-se de um conversor analógico-digital de 12 bits do tipo
aproximações sucessivas (SAR) com interface SPI. Possui 8 entradas que podem
ser configuradas como 8 canais single-ended ou 4 canais pseudo-diferenciais (o
potencial da entrada IN- não deve ser maior do que aproximadamente 100mV em
relação ao potencial de GND). A referência de tensão deve ser externa. Este chip
funciona com tensão de alimentação de 3 ou 5V e sua velocidade de conversão é de
cerca de 100ksps com tensão de alimentação de 5V (a cada 12 pulsos de clock o CI
efetua uma conversão analógica para digital).
Sendo um conversor de 12 bits, sua equação de operação é dada por (3.5) :
(= 2
)*
)+,-
(3.5)
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
51
onde '. é a tensão presente na entrada do canal CHn, '/0$ é a tensão de referência
aplicada ao pino 15 do CI e D é o valor já quantizado e digitalizado na saída do
conversor A/D. Define-se como tensão de entrada o valor da diferença de potencial
no pino CHn no modo single-end ou a diferença (IN+ e IN-) no modo diferencial.
A Figura 3.16 mostra o diagrama interno de cada um dos 8 circuitos integrados
MCP3208 utilizado neste projeto.
Figura 3.15: Disposição dos pinos do circuito integrado MCP3208. No
desenvolvimento deste conversor A/D foram utilizadas 8 unidades deste chip. Fonte:
(MICROCHIP, 2010).
Figura 3.16: Diagrama de blocos funcionais mostrando os blocos internos do circuito
integrado conversor AD modelo MCP3208 do fabricante Microchip. Fonte:
(MICROCHIP, 2010).
Observando-se o diagrama da Figura 3.16, pode-se notar a presença do
multiplexador de 8 canais na entrada de cada chip, de um módulo DAC (que é o
conversor AD propriamente), com uma entrada externa para aplicação da tensão de
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
52
referência, uma entrada de controle lógico (que é a interface digital SPI, através do
qual o controle e a leitura de cada chip é feita), um módulo de retenção da última
leitura efetuada (“Sample and Hold”) e um módulo de 12 bits do tipo SAR
(Aproximações Sucessivas), o qual garante a resolução de 12 bits deste sistema.
3.7 Barramento SPI
O barramento de interface periférica serial (SPI) criado pela Motorola (atualmente o
nome desta empresa é Freescale) nos anos 80 é usado principalmente para
comunicação serial síncrona entre um processador hospedeiro (host, em inglês) e
outros circuitos integrados periféricos, isto é, montados ao lado do CI principal.
Quatro linhas de sinais são utilizadas: Seleção de Chip (CS), Entrada de Dados
Seriais (SDI), Saída de Dados Seriais (SDO) e linha de Clock Serial (SCLK). Em
alguns textos da Motorola podem ser usados nomes diferentes para os sinais SPI
em relação aos nomes citados neste trabalho. Por exemplo: CS pode aparecer como
SS, SDI pode aparecer nos textos como MOSI e SDO como MISO. Nos produtos do
fabricante National Semiconductor, a porta SPI também é conhecida como
Microwire. As linhas CS e SCLK são saídas fornecidas pelo dispositivo mestre. Os
dispositivos escravos recebem suas entradas de clock e seleção de chip a partir do
mestre. Se um dispositivo SPI não for selecionado, sua linha de saída SDO entrará
em um estado de alta impedância (tri-state). O número de bits seriais transferidos
para o dispositivo escravo varia de dispositivo para dispositivo. Cada dispositivo
escravo contém um registrador de deslocamento interno que é usado para transferir
dados. Dois tipos de conexões entre dispositivos mestre e escravo são possíveis,
como visto na Figura 3.17. Em uma conexão em cascata, todos os escravos na
cadeia compartilham de uma única linha de seleção de chip conduzida pelo mestre.
O dispositivo mestre envia dados pela linha SDO e se conecta como uma entrada à
entrada da linha SDI de um dispositivo escravo. A saída SDO de um escravo se
conecta à entrada SDI de outro escravo. Os dados seriais passam através de todos
os escravos e o escravo final da cadeia conecta sua linha SDO à entrada SDI do
mestre para completar a cadeia. Nesta configuração, os dispositivos escravos
aparecem como um dispositivo escravo maior, a saída de dados de um dispositivo
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
53
alimenta a entrada de outro dispositivo, formando assim um grande registrador de
deslocamento. A segunda opção de configuração SPI suporta dispositivos escravos
independentes, sendo que cada dispositivo tem sua própria linha de entrada de
seleção de chip exclusiva vinda do mestre. A saída SDO do mestre se conecta a
cada entrada SDI de escravos. As saídas tri-state SDO do escravo são conectadas
juntas e conectadas também àentrada SDI do mestre. Somente a saída SDO do
escravo selecionado é acionada e as outras são levadas em nível de alta
impedância.
Figura 3.17: As duas opções de configuração para dispositivos escravo em portas
SPI: Em (A) conexão em cascata, todos os escravos na cadeia compartilham de uma
única linha de seleção; (B) cada dispositivo tem sua própria linha de entrada de
seleção de chip exclusiva vinda do mestre.
O modo SPI também pode suportar vários mestres. Vários modos SPI são
suportados com dados seriais sendo válidos na borda de subida ou na borda de
descida do clock. As taxas de clock serial podem variar de 30 kHz a 3 MHz,
dependendo dos dispositivos utilizados. Normalmente, os dispositivos colocam
novos dados no barramento durante a borda de descida do clock e os dados são
desconectados do barramento na borda de subida depois que o sinal de clock se
estabiliza, mas é necessário verificar os datasheets para dispositivos mestre e
escravo específicos para confirmar isso, pois alguns dispositivos usam as bordas de
clock opostas as que foram descritas.
Dispositivos SPI também estão disponíveis em diferentes níveis de
alimentação de tensão variando de 2,3 a 5 volts. Como o SPI usa um clock comum
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
54
a todos os dispositivos, a interface de hardware é mais simples do que a interface
serial RS-232C. Neste projeto foi escolhida a configuração de ligação mostrada na
Figura 3.17-(B). A comunicação entre mestre e escravo só ira começar quando o
nível lógico do pino CS/SHDN for para zero. Neste instante, o mestre envia os bits
de configuração. Os bits de configuração são mostrados na Tabela 3.1.
Tabela 3.1: Bits de configuração a serem enviados para o chip MCP3208.
Config Bits
Sgl/Diff Odd/Sign
Single Ended Mode
Pseudo-Differential Mode
ChannelSelection GND
0
1
1
0
+
-
-
1
1
-
+
-
0
0
IN+
IN-
0
1
IN-
IN+
Os bits de configuração definem os seguintes parâmetros: modo de operação
(modo de entrada em canal isolado=Single-Ended, ou modo de diferencial entre dois
canais= Pseudo-Differential Mode), o canal selecionado e a forma que os dados
serão enviados (bit menos significativo ou o bit mais significativo primeiro). Após o
envio do bit start e dos três bits de configuração ção, o CI conversor AD selecionado
envia os 12 bits de leitura para o mestre. Esse processo está ilustrado na Figura
3.18 através de um diagrama de sinais e tempos.
Figura 3.18: Sinais nos pinos de comunicação do chip conversor A/D MCP3208.
Fonte: (MICROCHIP, 2010).
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
55
3.8 Amplificadores para condicionamento de sinais
Verificou-se experimentalmente que seria necessária a construção de um
estágio amplificador de ganho programável na entrada de cada conversor A/D
(FAMBRINI, BARRETO e SAITO, 2014). A solução escolhida foi acrescentar um
módulo amplificador de ganho programável (PGA) construído a partir de circuitos
integrados modelo TL074, de Texas Inst. (TEXAS, 2000). Cada circuito integrado
contém internamente 4 amplificadores operacionais de baixo ruído. No total, foram
utilizados 15 circuitos integrados, cuja montagem foi disposta em 3 placas de circuito
impresso em separado, para facilitar a construção do conjunto. Na Figura 3.19 é
mostrado o diagrama elétrico de um amplificador baseado no CI TL074 e a Figura
3.20 mostra o desenho de cada uma das três placas de circuito impresso que
compõe o módulo amplificador PGA conectado na entrada do conversor A/D
(FAMBRINI, SAITO e CURA, 2016). No total, foram construídos 60 amplificadores,
embora apenas 59 sejam utilizados, pois a Matriz de Microeletrodos possui 59
eletrodos de leitura (o eletrodo de número 15 da MEA é o eletrodo de referência, ou
seja, o GND ou “terra”, então restam 59 eletrodos de leitura).
Figura 3.19: Diagrama elétrico de um amplificador PGA montado a partir dos
circuitos integrados TL074. No total, foram construídos 60 amplificadores iguais e
divididos em 3 placas de circuito impresso onde cada placa comporta 15 circuitos
amplificadores.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
56
Figura 3.20: Desenho das placas de circuito impresso mostrando 20 amplificadores
PGA. Cada um dos circuitos integrados TL074 montados nas placas mostradas nesta
Figura contém internamente 4 amplificadores operacionais. Um total de 3 placas
iguais foram construídas e interligadas à entrada do conversor A/D.
O circuito amplificador de entrada mostrado na Figura 3.19 é conhecido na
literatura como “amplificador não-inversor” e seu funcionamento já foi explicado
neste Capítulo. O ganho foi fixado em 11 através da escolha dos valores dos
resistores R1 e R2 mostrados nesta Figura 3.19 . A impedância de entrada também
foi fixada por meio do resistor R3 e o valor escolhido foi de 100kΩ. Isto é importante
para reduzir a adição de ruídos que ocorreriam caso a impedância fosse mais
elevada. Cada saída de cada circuito amplificador foi ligado em uma das 59 entradas
(de um total de 64) dos Conversores A/D.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
57
3.9 Implementação prática do Digitalizador
O diagrama de blocos mostrado na Figura 3.14 foi implementado na prática e
a foto desta implementação é mostrada na Figura 3.21.
Figura 3.21: Implementação prática dos blocos mostrados no diagrama da Figura
3.14.
Na Figura 3.21(A) é mostrada a placa de circuito impresso contendo os 8
circuitos integrados conversores A/D Microchip MCP 3208; em (B) é mostrada placa
de circuito impresso contendo o FPGA ALTERA Cyclone II EP2C5, em (C) a placa
de circuito impresso do computador embarcado Orange PI e em (D) foi construído
um módulo oscilador de onda quadrada de frequência igual a 4 MHz, cuja finalidade
é produzir os sinais de clock de modo a sincronizar as operações do FPGA. Tais
sinais de clock poderiam eventualmente ser construídos internamente aproveitando
a própria arquitetura do FPGA; entretanto, tal escolha consumiria muitos flip-flops
internos da Cyclone II, reduzindo a quantidade de recursos internos disponíveis no
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
58
FPGA. Por este motivo, optou-se pela construção de um circuito externo e discreto
de clock, mostrado em (D) na Figura 3.21. A placa de circuito impresso contendo o
FPGA foi adquirida pronta no comércio brasileiro, e é produzida na China. A Figura
3.22 mostra uma foto desta placa, a qual pode ser comprada através dos correios,
utilizando o site “MercadoLivre”.
Figura 3.22: Foto da placa de circuito impresso contendo o FPGA modelo EP2C5
ALTERA Cyclone II, que foi adquirida pronta no comércio e é de procedência
chinesa.
Esta placa tem as seguintes características: possui um circuito integrado do
tipo FPGA modelo EP2C5T144, contém uma interface JTAG de 10 pinos para
gravação do FPGA, cristal de quartzo de frequência igual 50 MHz interno na placa,
três LEDs programáveis, uma memória EEPROM modelo EPCS4 com capacidade
de 4 Mbit para configurar o FPGA e tensão de alimentação de 5 volts DC, contendo
na própria placa um circuito integrado um circuito integrado regulador de tensão. As
dimensões físicas da placa são de 60mm x 80mm.
Tal placa se mostrou
extremamente prática e útil para este projeto, porque permitiu implementar de modo
rápido um circuito de leitura com 8 interfaces SPI e uma saída também no formato
SPI para realizar a comunicação com o módulo seguinte, que é o computador
embarcado Orange PI. Este FPGA possui também um módulo PLL (Phase Locked
Loop) que poderia ter sido utilizado em conjunto com o cristal de 50MHz e
possibilitaria um divisor eficiente para a frequencia de clock.
Com a finalidade de realizar a leitura dos sinais dos 8 circuitos integrados
MCP3208 através de suas respectivas interfaces SPI, um código em linguagem
VHDL foi escrito no ambiente QuartusII© (ALTERA), simulado, testado e
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
59
implementado nesta placa da Figura 3.22. Este código é mostrado na íntegra no
Apêndice I, como já mencionado.
3.10 Código em linguagem VHDL
A primeira parte do código implementado descreve as portas e pinos do
FPGA, como entradas e saídas para controle das interfaces SPI, e este trecho de
código é mostrado na Figura 3.23.
Figura 3.23: Descrição das entradas e saídas em linguagem VHDL. Parte do código
implementado na placa mostrada na Figura 3.22.
A seguir é mostrado o código que descreve a arquitetura comportamental de
cada uma das 9 interfaces SPI implementadas no FPGA. A Figura 3.24 detalha parte
do código contendo a descrição comportamental das entidades SPI.
O clock do processo chamado “cristalE” é gerado externamente e produzido
por um cristal oscilador a quartzo de frequência igual 4MHz montado na placa
externa mostrada na Figura 3.21(D). O vetor mais importante utilizado neste código
é chamado de SAMPLE
e é um vetor do tipo
“std_logic_vector” contendo 99
posições. É este vetor que armazena os 12 bits provenientes de cada um dos 8
canais de cada CI MCP3208 que integram a placa do conversor A/D.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
60
Figura 3.24: Descrição comportamental em código VHDL mostrando parte do código
que descreve as interfaces SPI.
Cada resultado da conversão de cada um dos canais do conversor A/D é
armazenado em uma posição do vetor SAMPLE da seguinte maneira:
Bit 1 do vetor SAMPLE: start bit para iniciar o conversor A/D;
Bit 2 do vetor SAMPLE: envia a informação do modo de aquisição (single/diff)
e configura o conversor A/D para o modo SINGLE conforme a Tabela 3.1;
Bit 3, 4 e 5 do vetor SAMPLE: configuram qual é o canal do conversor AD que
será lido, da seguinte maneira: bit 3 corresponde a D0, bit 4 corresponde a D1 e bit 5
corresponde à D2; este conjunto de 3 bits D0, D1 e D2 fazem o endereçamento que
seleciona qual é o canal do chip MCP3208 que será lido. Por exemplo, ao selecionar
D0.D1.D2 = 110
seleciona-se o canal 6 de todos os chips MCP3208
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
61
simultaneamente. Neste caso, todos os circuitos integrados conversores A/D irão ler
seus canais número 6, e enviar a informação digitalizada referente ao canal 6 do
chip 1, canal 6 do chip 2, canal 6 do chip 3…. até o canal 6 do chip 8 para compor o
vetor de 99 posições SAMPLE. Isso tudo ocorre sempre na borda de subida de cada
pulso de clock. Assim, o vetor SAMPLE traz sempre uma informação que
corresponde a 12 x 8 = 96 bits (uma conversão AD vem de cada chip) e os 3 bits
iniciais configuram qual é o canal que vai ser lido naquele instante. Isso totaliza os
99 bits deste vetor. A Figura 3.25 mostra mais um trecho do código VHDL,
responsável por montar o vetor de saída de dados, que serão enviados, já
digitalizados, para a placa Orange PI.
Na Figura 3.25 pode-se observar parte do código que carrega o vetor de
saída de dados (chamado “amostra”). A cada 3 pulsos de clock, o sistema realiza a
multiplexação dos canais lidos em sua entrada, mudando o canal solicitado aos
conversores A/D; então, a cada 3 pulsos de clock, ad0(n), ad1(n), ad2(n),
ad3(n),ad4(n) … ad7(n) recebem um novo valor digital de 12 bits, sendo cada sinal
proveniente de um dos oito chips conversores A/D. Observar que ad0 sempre
provém do chip MCP1 (ou seja, do primeiro chip da placa), ad1 sempre provém do
chip MCP2 e assim por diante, até o oitavo chip.
Finalmente, após coletar os dados dos 8 canais de cada um dos 8 chips
conversores A/D, o código em VHDL precisa concatenar os diversos valores de
ad0(n), ad1(n), ad2(n), ad3(n),ad4(n) … ad7(n) num único vetor de saída de dados.
Esta implementação é mostrada no código da Figura 3.26.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
Figura 3.25: Trecho do código VHDL que carrega o vetor de saída, que será enviado
para a placa Orange PI.
Figura 3.26: Trecho do código VHDL que concatena os dados de todas as entradas
de todos os conversores A/D e monta o vetor de saída de dados, chamado de
“amostra”.
62
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
63
O vetor “amostra” possui então as informações de todos os canais, da
seguinte maneira: amostra(0) tem todas as informações de todos os canais 1 de
todos os chips conversores A/D; amostra(1) possui todas as informações de todos
os canais 2 de todos os chips e assim sucessivamente. Finalmente, o vetor de saída
de dados é montado através da seguinte instrução:
DOUT := amostra(0) & amostra(1) & amostra(2) & amostra(3).
O vetor DOUT é enviado para a interface SPI de saída de dados (para a placa
Orange Pi). DOUT é na verdade um buffer que contém 4 amostras de todos os
canais, ou seja, DOUT é um vetor de 12 x 8 x 4 = 384 bits. O FPGA envia “pacotes”
contendo 4 amostras de todos os canais de cada vez, para garantir uma velocidade
de comunicação serial SPI compatível com as necessidades deste hardware. Uma
das principais dificuldades desta implementação foi atingir a velocidade necessária
de transferência de dados entre o conversor A/D e o computador PC. O pacote de
dados é bastante grande: são 59 canais que enviam 10000 amostras de 12 bits por
segundo (frequência de amostragem de 10 ksps em cada chip). O problema só foi
resolvido com o uso de um FPGA, que permite processamento paralelo e
implementação por meio de hardware das funções de leitura dos conversores A/D. O
código VHDL completo que foi implementado neste FPGA é mostrado no Apêndice I,
conforme já mencionado.
3.11 Módulo Orange PI
Orange Pi é um computador embarcado de procedência chinesa construído
em uma única pequena placa de circuito impresso. Esta placa pode executar
diversos sistemas operacionais baseados no sistema Linux, tais como Android 4.4,
Ubuntu, Debian, ou até mesmo a Imagem Raspbian (que foi originalmente
desenvolvida para a placa Raspberry PI). O módulo Orange PI utiliza o
microprocessador fabricado pela empresa chinesa AllWinner, modelo H3 SoC, e
possui memória interna na placa do tipo SDRAM DDR3 com capacidade de 1GB. A
Figura 3.27 mostra o aspecto do módulo Orange PI utilizado neste projeto.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
64
Figura 3.27: Aspecto da placa Orange PI, mostrando os detalhes do barramento para
acesso da interface SPI. Fonte: (ORANGE, 2018).
O microprocessador utilizado na placa mostrada na Figura 3.27 é um QuadCore de arquitetura ARM-Cortex A7 com frequência de clock interna de 1,8GHz.
Esta placa foi escolhida em virtude de seu custo mais baixo e maior velocidade
quando comparada com suas concorrentes diretas: a Raspberry PI (do fabricante
Raspberry Pi Foundation situado em Cambridge-UK) e a BeagleBone Black, do
fabricante Texas Instruments. De fato, Orange PI possui melhor performance dentre
as três testadas neste projeto.
O sinal digital proveniente da placa FPGA mostrada na Figura 3.22 é aplicado
na entrada SPI da placa Orange PI, através do conector de acesso ao barramento,
mostrado na Figura 3.27 (A). Na mesma Figura 3.27 (B) é mostrado o conector RJ15
que serve para conectar a porta Ethernet do computador PC. A velocidade da
comunicação entre a placa Orange PI e o computador PC pode atingir taxas da
ordem de 100 Mbits/segundo. Este foi um dos fatores que levou o autor a escolher a
porta Ethernet como meio de conexão entre o Sistema de Registro MEA
desenvolvido aqui e o computador PC: a alta taxa de transferência de dados.
Uma outra possibilidade seria utilizar a conexão USB 3.0. Entretanto, tal
implementação poderia trazer uma elevada dificuldade adicional em termos de
software (é muito mais simples escrever uma conexão do tipo SSH entre a Orange
Pi e o computador PC do que escrever um driver capaz de implementar
comunicação USB 3.0 em altas velocidades).
A placa Orange PI teve seu cartão de memória (SDCard) carregado com o
Sistema Operacional ARMBIAN (ARMBIAN, 2019) e um código em linguagem C foi
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
65
escrito especificamente para receber o vetor DOUT enviado pela FPGA e transmitir
este vetor para o computador PC através da porta (B) do tipo Ethernet.
3.12 Código-fonte em linguagem C
O código-fonte a seguir foi escrito em C, compilado e executado na placa
Orange PI, com a finalidade de receber o vetor de dados pela porta SPI e enviar
este mesmo vetor, em pacotes formados por arquivos de tamanho 21.287 Kb (cerca
de 22 Megabytes) para o computador PC, através de uma conexão do tipo SSH
(Secure SHell) pela porta Ethernet. A Figura 3.28 mostra o primeiro trecho deste
código.
Figura 3.28: Trecho inicial do código em C que inicializa a interface SPI da placa
Orange PI.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
66
Este primeiro trecho mostrado na Figura 3.28 mostra a abertura e
configuração da porta SPI. Existe também uma rotina que imprime a velocidade de
operação desta porta, que neste caso situa-se em torno de 32MHz. O segundo
trecho deste código é mostrado na Figura 3.29. Pode-se ver neste trecho um laço de
repetição infinito do tipo for(;;) que envia o vetor recebido pela porta SPI diretamente
para o computador PC, utilizando-se para isso da função “printf” da linguagem C. O
envio é feito na forma de conjunto de 8 bits (byte a byte). Posteriormente, existe
outro software, no computador PC, que transforma os valores de 8 bits enviados em
valores de 12 bits, pois os resultados das leituras dos conversores A/D são feitos em
12 bits (e não em 8 bits). O envio de dados diretamente no formato de 12 bits tornase complicado: a linguagem C não possui nenhum tipo de dado de 12 bits e a função
que envia dados pela porta Ethernet (função “fprintf”) não funcionaria com um tipo de
dado definido pelo usuário. É fato que existem outras técnicas que poderiam
resolver este problema (por exemplo: utilizar um ponteiro para ler os bits em
conjunto de 12 bits e usar o mesmo ponteiro para enviar os dados pela porta serial
Ethernet, ao invés de usar a função fprintf). Todas estas possíveis soluções foram
testadas pelo autor, ao longo de vários meses. A solução que trouxe a melhor
velocidade e a melhor performance é esta que foi adotada e explicada.
Figura 3.29: Laço de execução infinita que envia o vetor de dados para o computador
PC.
O código-fonte completo em linguagem C utilizado na placa Orange PI é
mostrado no Apêndice J ao final deste texto .
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
67
3.13 Execução final do protótipo do Digitalizador
A Figura 3.30 mostra o módulo digitalizador em construção, no momento da
interligação de todos os subsistemas descritos neste Capitulo.
Figura 3.30: Módulo Digitalizador em fase de montagem; Em (A), (B) e (C) são
mostradas as placas dos amplificadores ilustrados na Figura 3.20; Em (D) é vista a
placa do conversor AD; em (E) mostra-se a placa Orange PI, em (F) a placa do FPGA
e em (G) finalmente, o módulo gerador de clock de 4MHz. Este módulo de 4MHz
poderia ter sido suprimido caso se utilizasse o PLL interno presente no FPGA.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
68
Finalmente, a Figura 3.31 mostra o aspecto do módulo Digitalizador do
Sistema de Aquisição de Dados em Matriz de Microeletrodos proposto e
implementado neste trabalho. Na Figura 3.31 os fios já foram soldados, interligando
todos os subsistemas para compor o protótipo. Neste ponto, o protótipo já se
encontra funcional para os testes descritos no Capitulo 7. A Figura 3.31(A) mostra o
Sistema preparado para os testes, e a Figura
3.31(B) mostra o Digitalizador
interligado ao Soquete para MEA com o pré-amplificador.
Figura 3.31: Aspecto final do protótipo do Digitalizador para Matriz de
Microeletrodos: em (A) é mostrado o Digitalizador, com os fios interligando todos os
módulos e em (B) o Digitalizador é ligado ao soquete que faz a conexão com a MEA.
A seguir é descrito o procedimento, passo-a-passo, para utilizar o
equipamento, da maneira como este foi desenvolvido.
1. Conectar o Cabo de Rede no computador e na OrangePi.
2. Configurar o IP do adaptador de rede cabeada para:
•
IP: 192.168.66.1
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
•
Máscara de Rede: 255.255.255.0
•
Gateway: 192.168.66.99
69
3. Instalar um software de controle SSH, como por exemplo o Putty ou Bitvise
(PUTTY, 2019).
4. Iniciar o Putty ou outro software SSH e usar as seguintes credenciais:
•
IP: 192.168.66.99
•
Porta: 22
•
User: meas
•
Password: meas2019
Uma tela similar à mostrada na Figura 3.32 deverá aparecer no computador
PC:
Figura 3.32: Tela resultado da conexão SSH entre a Orange PI e o computador PC.
5. Configurar uma pasta compartilhada no computador com o nome “meas” com
visibilidade para todos e com permissão de escrita. Esta pasta deverá ser
acessível no menu Iniciar-> Executar (Win + R) através do seguinte código IP:
\\192.168.66.1\meas\
6. Anotar as Credenciais de Login do Windows, usuário e senha, do computador
para acesso à pasta compartilhada. Por exemplo, Usuário: fabio e Senha:
capacete.
7. Através da conexão SSH configurar as credenciais da rede que foram
anotadas, conforme mostrado na Figura 3.33:
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
70
sudo nano /home/meas/.smbcredentials
senha: meas2019
Figura 3.33: Tela de apresentação do Login e da Senha do usuário.
•
Fechar o editor usando as teclas Ctrl+X e em seguida tecla Y e depois ENTER;
•
Testar as alterações com o comando:
sudomount -a
Se tudo estiver correto nenhuma mensagem aparecerá, assim, testar a
montagem da pasta de rede com o comando:
df -H
Uma tela aparecerá com o espaço disponível do disco do computador na
OrangePi, como mostrado na Figura 3.34:
Figura 3.34: Tela que mostra o espaço disponível no computador.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
71
Caso haja falhas no processo descrito acima, revisar as credenciais e
certificar-se de que o computador está com o ip 192.168.0.1 e que ele está
compartilhando a pasta “meas". Observações importantes:
1. Neste ponto a OrangePI já consegue enviar os arquivos para o computador
via pasta de rede compartilhada.
2. Os passos anteriores não precisam ser repetidos novamente; Isto só será
necessário se o computador for reconfigurado com novo usuário e ou senha.
3. Apagar os arquivos existentes na pasta “meas” do computador.
4. Para iniciar a gravação, encontrar o diretório: /home/meas/meas, para isso,
utilizar o comando:
cd /home/meas/meas
Confirmar com o comando “ls” e a tela irá apresentar a imagem mostrada na
Figura 3.35 :
Figura 3.35: Tela inicial para gravação dos dados.
Iniciar a gravação com o comando:
sudo ./meas
A tela deverá ficar como mostrada na Figura 3.36:
Figura 3.36: Tela confirmando que a gravação está ocorrendo e que a interface SPI da
Orange PI está conectada com taxa máxima igual a 32MHz.
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
72
Ao terminar a gravação pressionar Ctrl+C e aguardar alguns segundos, até
que o computador apresente a tela mostrada na Figura 3.37.
Figura 3.37: Tela confirmando que a gravação cessou pela ação do usuário, através
das teclas Control + C.
5. Verificar os arquivos de gravação no computador na pasta meas como
mostrado na Figura 3.38:
Figura 3.38: Arquivos gravados na pasta “C:meas” do computador PC.
6. Abrir o software “.RAW to .CSV” (Project1.exe) na pasta DELPHI.
7. Selecionar a pasta “meas” como entrada pelo botão “Abrir Pasta” e conferir
os arquivos gravados na lista conforme a Figura 3.39:
Capítulo 3 - Projeto do Sistema de Registro Convencional usado em MEA
73
Figura 3.39: Programa “RAW to CSV”, que abre os arquivos .txt gravados na pasta
meas e os transforma em números de 12 bits, no formato .CSV, adequado à leitura do
software que foi desenvolvido por outro pesquisador para este mesmo projeto.
8. Pressionar o botão “Converter” e definir uma pasta de saída para os arquivos
CSV, como mostrado na Figura 3.40.
Figura 3.40: Interface gráfica do Software “RAW to CSV” mostrando a conversão dos
arquivos do formato .txt para o formato .CSV.
9. Aguardar o término da conversão e abrir a pasta de destino. Os dados
gravados com a extensão .CSV estarão nesta pasta e podem ser visualizados
com o software Excel (pois o formato agora é de extensão .CSV, Comma
Separated Values). Na primeira linha de cada coluna está escrito de qual chip
Capítulo 3 - Projeto do Sistema
Si
de Registro Convencional usado
oe
em MEA
74
e de qual canall a
aqueles dados de determinada coluna são
s
provenientes. A
Figura 3.41 mostra
tra os arquivos abertos pelo programa Exc
xcel.
Figura 3.41: Dados
os já convertidos, enviados para o computador
or e abertos no
programa Excel.
10.
Para desligar o sistema,
si
enviar um comando “sudo halt
alt” para a OrangePi;
Se o sistema operacio
cional pedir a senha, colocar “meas2019
9”. Aguardar de 30 a
60 segundos e desliga
igar a fonte de alimentação. Alternativame
ente, pode-se usar o
comando “sudo powe
weroff” para desconectar o módulo Orang
nge PI.
Atenção: Não desliga
gar o equipamento sem antes fazer est
ste procedimento de
desconexão, caso contrário
co
o cartão de memória da Orange
Or
PI pode ser
corrompido, obrigand
do a recarga do Sistema Operacional.
3.14 Considerações
s Finais
Neste Capítulo foram
for
descritos os detalhes do projeto ele
letrônico do hardware
proposto pelo autor. Foi
oi descrito também o desenvolvimento e implementação do
módulo Digitalizador, com
om todos os seus subsistemas e o proced
dimento de utilização
deste módulo, com seus
us softwares e sua aplicação no computad
ador PC, na forma de
um breve manual de utili
tilização.
No Capítulo 4 será
s
feita uma revisão sobre a import
ortância do ruído na
detecção de sinais de b
baixa amplitude e o conceito de Resso
sonância Estocástica
(abreviada por RE) ser
erá introduzido. Diversas aplicações do
o fenômeno RE são
também descritas, com ê
ênfase no seu uso prático na detecção e ampliação de sinais
de pequena amplitude,, ssob ambiente ruidoso.
Capítulo 4 - Ruído e Ressonância Estocástica
75
Capítulo 4
CAPÍTULO 4 -
RUÍDO E RESSONÂNCIA
ESTOCÁSTICA
A característica de melhoria na relação sinal-ruído para certos amplificadores eletrônicos
quando ocorre a adição controlada de ruído e em particular o fenômeno de ressonância estocástica
(RE) é o objeto de estudo deste Capítulo. São discutidas as condições necessárias e suficientes para
a obtenção deste interessante fenômeno, bem como algumas aplicações práticas.
4.1 Introdução
O ruído interfere de diferentes formas na vida cotidiana e nos experimentos
de laboratório. Alguns exemplos de diferentes tipos de ruídos são: acústico, térmico
e elétrico. O tráfego urbano é considerado uma causa de ruído, porque o mesmo
produz sinais acústicos que interferem com a qualidade de vida. Um sinal de rádio
interferente é ruído (eletromagnético) quando o mesmo encobre notícias de alguma
emissora de rádio. Os transistores são afetados por um ruído que limita a
capacidade de amplificação destes dispositivos com relação aos sinais elétricos. O
ruído é em geral desapropriado para os sistemas, porque ele limita o desempenho, e
grandes esforços são empreendidos pelos físicos e engenheiros com a finalidade de
reduzir ruídos, como por exemplo filtragem, compensação de feedback, blindagens
eletromagnéticas, entradas em oposição de fase, etc., mesmo diante do aumento de
custo e complexidade dos equipamentos (ANDÒ, 1999; OTT, 1988).
Por outro lado, nas últimas três décadas, diversos estudos científicos tem
mostrado, de maneira surpreendente, os efeitos benéficos do ruído. Diversos
fenômenos naturais podem ser explicados levando-se em conta a presença do ruído
(por exemplo: a periodicidade nas eras glaciais). Além disso, diversos sistemas
físicos e biológicos funcionam melhor em presença de ruído, como por exemplo
neurônios biológicos e sistemas eletrônicos. Alguns trabalhos demonstraram a
Capítulo 4 - Ruído e Ressonância Estocástica
76
melhoria da capacidade cognitiva de crianças e adolescentes ao serem submetidos
ao ruído branco durante horas de estudos (SÖDERLUND et al., 2007, 2010).
4.2 Classificação dos Ruídos
Uma área onde o ruído exerce um papel importante é na eletrônica: até
mesmo o mais simples componente elétrico, que é o resistor, produz ruído quando
percorrido por uma corrente elétrica. O ruído que pode ser observado em resistores
é devido em grande parte às flutuações térmicas dos elétrons no corpo do
dispositivo e este é o tipo de ruído mais comum que pode ser observado. Este tipo
de ruído é chamado ruído térmico ou ruído Johnson e é uma forma de ruído branco,
pois consiste em uma distribuição de igual energia em todas as frequências do
espectro. Outro tipo é o ruído Gaussiano, que possui média zero e desvio padrão
constante. Um grande conjunto de fenômenos, muito diferentes em sua natureza,
tais como as flutuações de resistência elétrica nas junções dos semicondutores, a
atividade elétrica do coração, atividade sísmica, o nível sanguíneo de insulina nos
diabéticos, etc, produz um tipo bastante diferente de ruído, chamado flicker, ruído
rosa ou ruído 1/f. A última definição sugere que o ruído rosa é predominantemente
um fenômeno de baixas frequências. Outros tipos de ruídos como o popcorn noise
(ruído de pipoca) e o ruído Schottky afetam circuitos integrados lineares. Geralmente
o ruído é considerado um sinal aleatório, isto é, um sinal que não pode ser descrito
por uma função matemática específica. Nas últimas décadas, entretanto, diversos
trabalhos tem mostrado que o ruído pode também ser o resultado da ação de um
sistema caótico, isto é, pode ser produzido por um sistema determinístico (ANDÒ,
1999). A Figura 4.1 mostra uma representação esquemática do circuito Chua, sua
equação característica e o sinal produzido em sua saída. É interessante observar
que a saída do sistema pode ser vista como sendo um sinal do tipo ruído
determinístico (é um ruído gerado por um processo caótico, pois deriva de um
circuito cujas equações são determinísticas). É muito difícil estabelecer a classe de
cada tipo de ruído sem fazer algum tipo de análise dos sinais. Um critério mais
rigoroso é analisar sua Função Densidade de Probabilidade (PDF) (MCDONNELL,
2008). O ruído de natureza aleatória (sem considerar o ruído caótico) sugere que a
Capítulo 4 - Ruído e Ressonância Estocástica
77
análise estatística é a abordagem apropriada: esta análise permite predizer algumas
características estatísticas do ruído.
Figura 4.1: Uma representação esquemática do ruído caótico: (a) o circuito Chua; (b)
a Equação característica do sistema; (c) O sinal de saída do sistema.
Fonte: (ANDÒ, 2000).
A Tabela 4.1 lista os 4 tipos principais de ruído aleatório (não caótico) que podem
ser produzidos através de circuitos eletrônicos (BARRETO, 2015).
Tabela 4.1: Relação dos ruídos aleatórios mais comuns que ocorrem em circuitos
eletrônicos.
Nome dos
Forma da Densidade Espectral
Componentes ruidosos
ruídos
de Potência
Térmico
Constante
Resistores, dispositivos de estado sólido
Shot noise
Constante
Dispositivos de estado sólido
Flicker
Aproximadamente 1/ f
Dispositivos de estado sólido
Popcorn
Aproximadamente 1/ f 2
Dispositivos de estado sólido
(Burst)
Poisson
Diodos tunel, lasers e dispositivos
conversores de imagem CCD.
Capítulo 4 - Ruído e Ressonância Estocástica
78
O ruído Chua não está relacionado nesta Tabela 4.1 pois é um ruído
determinístico, que pode ser representado através de um modelo fractal (GINGL,
2005). O ruído térmico, também conhecido como ruído branco, é causado pelo
movimento aleatório dos elétrons livres num condutor. Mesmo sem o efeito de
campos elétricos, cada elétron dentro de um condutor encontra-se em movimento
por causa da energia térmica. O efeito desse movimento num condutor é a geração
de uma corrente, com média zero. A equação (4.1) define a medida da tensão eficaz
RMS (Root Mean Square) do ruído térmico:
V RMS =
4 kTR ∆ f
(4.1).
onde k é a constante de Boltzmann, T é a temperatura absoluta, R é a
resistência e f é a frequência do sinal periódico que atravessa o condutor.
O ruído de corrente (shot) é o ruído associado à corrente que flui pela barreira
de potencial de um semicondutor, devido a flutuações estatísticas na emissão de
elétrons. Este tipo de ruído surge em dispositivos semicondutores, principalmente
em diodos e transistores bipolares. O ruído shot pode ser definido pela equação
(4.2):
I sh =
2 qI dc B
(4.2).
onde q é a carga do elétron em coulombs, Idc é a corrente média em ampères e B
é a largura de banda do ruído em hertz.
O ruído de contato (flicker), também chamado ruído rosa (pink noise), ocorre
em razão da diferença na condutividade elétrica em contatos imperfeitos entre dois
materiais que são unidos, como ocorre nas junções de diodos e transistores (OTT,
1976). Em baixas frequências, este ruído é chamado de ruído 1/f devido à presença
dessa frequência f como fundamental no espectro deste tipo de ruído.
Quantitativamente, o ruído de contato é diretamente proporcional ao valor da
corrente Idc, e a uma constante que depende da geometria do material representado
por K e também da raiz quadrada da largura de banda B do ruído, e inversamente
proporcional à raiz quadrada de f, conforme equação 4.3:
KI
B
I f = dc
f
(4.3).
O ruído pipoca (popcorn noise), também conhecido como ruído marrom ou
vermelho, está presente em diodos e circuitos integrados e possuiu o som de
Capítulo 4 - Ruído e Ressonância Estocástica
79
estouro de pipoca quando amplificado, em função de defeito de fabricação. Este
ruído tem como causa defeitos nas junções entre metais impuros ou junções entre
semicondutores. Sua taxa de repetição não é constante e a amplitude varia de duas
a cem vezes a amplitude do ruído térmico (BARRETO, 2015).
O ruído de Poisson aparece nos dispositivos que convertem imagens em
sinais elétricos, tais como os dispositivos CCD (charge-coupled device). Trata-se de
um ruido randômico, devido a natureza discreta da luz e da carga elétrica. Apresenta
uma medida da incerteza causada por erros introduzidos na estimativa do fundo
(background) (BHOSALENARAYAN et al., 2014).
4.2.1 Função Densidade de Probabilidade
Fontes de ruído geralmente são caracterizadas por sua Função Densidade de
Probabilidade (em inglês, Probabilistic Density Function, PDF). A PDF descreve a
distribuição da probabilidade em termos de integrais. A equação (4.4) mostra uma
definição matemática de PDF para uma variável aleatória contínua X:
1[3 <
< 5] = 7; 8( )9
:
(4.4).
A equação (4.4) deve ser interpretada da seguinte forma: A probabilidade de que o
valor da variável aleatória contínua X se encontre dentro do intervalo [a,b] é dada
pela integral do lado direito de (4.4). A função f(x) no integrando é a densidade da
variável aleatória X em cada ponto, ou seja, mede a probabilidade em cada ponto de
ocorrência do evento X. A equação (4.4) é válida para variáveis reais contínuas.
Pode-se utilizar a PDF para responder à pergunta "Qual é a probabilidade de uma
variável aleatória ter um intervalo específico de valores?". A resposta a essa
pergunta é igual à área (integração) sob a curva do gráfico da PDF do começo até o
final do intervalo. A função PDF é não-negativa em todos os pontos (podendo ser
nula), e sua integral do infinito negativo até o infinito positivo é 1, o que significa que
a probabilidade do evento ocorrer entre esse intervalo é certa. No caso de variáveis
discretas, que é o caso dos circuitos digitais que transformam os sinais analógicos
em sinais quantizados e digitalizados em valores discretos, a eq. (4.4) para a função
PDF assume a forma mostrada na equação (4.5) que é chamada Função Massa de
Probabilidade:
Capítulo 4 - Ruído e Ressonância Estocástica
1<3 < => < 5? = ∑:.C; 8(=. )∆B
80
(4.5).
Nesta equação (4.5), =. são valores quantizados e digitalizados para a variável
aleatória Z que representa o processo. A equação é análoga à anterior, com a
diferença de que integral se transforma em somatório e =. assume valores discretos
e não contínuos.
4.2.2 Ruído branco uniforme e ruído branco Gaussiano
Embora muitas vezes utilizados como sinônimos, o ruído branco uniforme
(simplesmente chamado “ruído branco”) não é o mesmo que ruído branco
Gaussiano. Ruído branco uniforme é bastante diferente do ruído branco Gaussiano
quando se analisa a Função Densidade de Probabilidade de cada um deles. A
Figura 4.2 mostra em (a) a PDF para o ruído branco uniforme e em (b) é mostrada a
PDF para o ruído branco Gaussiano. Apesar do ruído branco uniforme ter uma
função PDF totalmente diferente do ruído Gaussiano, esse tipo de análise não traz
nenhuma indicação da rapidez de mudança dos sinais: dois sinais muito diferentes
podem ter a mesma PDF (ANDÒ, 1999). A rapidez de mudança nos valores de
variáveis aleatórias pode ser levada em conta introduzindo-se o conceito de Função
de Auto-Correlação (em inglês, Auto-Correlation Function, ACF) e de Densidade
Espectral de Potência (em inglês, Power Spectral Density, PSD).
Figura 4.2: Histograma para o ruído branco uniforme; (b) Histograma para ruído
branco Gaussiano. O eixo das abscissas representa o nível de ruído e o eixo das
Capítulo 4 - Ruído e Ressonância Estocástica
81
ordenadas representa a frequência de cada componente do mesmo sinal.
Fonte:(ANDÒ, 1999).
A ACF para uma variável aleatória x(t) é dada pela equação (4.6):
(D) = EF
G
G→∞ G 7I
( ) ( + D)9
(4.6)
Por outro lado, PSD dá a mesma informação que a ACF, porém em outro
domínio: no domínio da frequência.
A PSD de uma variável aleatória x(t) é dada pela Transformada de Fourier da
sua respectiva ACF e é definida pela equação (4.7):
J(K) = 7LP
OP
(D)
L>MN
dD
(4.7)
Pode-se demonstrar que a função (4.7) é uma função real da frequência
complexa K e se x(t) é uma variável real como são todos os sinais elétricos
analisados neste trabalho, a PSD é real e pode ser calculada pela equação (4.8):
J(K) = 2 7I
OP
(D)!QRKDd D
(4.8)
4.3 Ressonância Estocástica
Ressonância estocástica (RE) é um termo usado para descrever qualquer
fenômeno em que a presença de ruído ou o ruído aplicado à entrada externa em um
sistema não linear melhora a resposta a um determinado sinal de entrada do que na
ausência de ruído. Trata-se de um assunto pouco conhecido até mesmo pelos
pesquisadores da área de Processamento de Sinais. O termo chave neste conceito
é “não-linear”. A ressonância estocástica não pode ocorrer em um sistema
linear (ANDÒ, 1999; GAMMAITONI et al., 1998).
O conceito de ressonância estocástica foi usado pela primeira vez no
trabalho de Benzi e colaboradores, estudando o problema da recorrência das eras
Capítulo 4 - Ruído e Ressonância Estocástica
82
glaciais (BENZI,1982). Fazendo uma análise estatística das variações do gelo nos
continentes no último milhão de anos, Benzi e colaboradores perceberam que a
sequência das eras glaciais possuía um período médio em torno de cem mil anos.
Esta periodicidade é comparável à escala temporal de dinâmica do movimento da
Terra, ou seja, do período de modulação da excentricidade orbital do planeta Terra.
Benzi criou então um modelo matemático onde o clima na Terra é representado
como um potencial biestável, onde um dos mínimos representa a temperatura que
identifica a era glacial. A modulação da excentricidade da órbita da Terra é
representada por um sinal periódico fraco e as flutuações anuais da radiação solar
são modeladas como um ruído branco Gaussiano. Este estudo de Benzi e
colaboradores inaugurou o conceito de RE. A primeira verificação experimental do
fenômeno RE foi efetuada por Fauve e Heslot (FAUVE, 1983) que estudaram uma
fonte de alimentação do tipo Schmitt trigger e a dependência com o ruído de suas
linhas espectrais. O funcionamento deste circuito envolve a conversão de um sinal
senoidal em um sinal de onda quadrada. Outros trabalhos demonstraram a
ocorrência do fenômeno de RE em física óptica, como por exemplo a observação de
RE em um laser de cavidade do tipo anel, que consiste de um interferômetro
formado por três ou mais espelhos e a biestabilidade consiste no fato de que a luz
pode propagar-se nos sentidos horário e anti-horário (MACNAMARA, 1988). Em
1998, Gammaitoni, Marchesoni e colaboradores (GAMMAITONI et al., 1998)
descreveram o mecanismo que regula as transições de um sistema estocástico
forçado por um componente de sinal periódico de intensidade sub-limiar. Mais
especificamente, eles descreveram o efeito de oscilações de um potencial de quarta
potência forçado por um componente periódico. Esse problema é importante em
Mecânica Quântica: um sistema de dois poços de potencial pode descrever o nível
de energia de algumas partículas. A palavra “ressonância” foi originalmente usada
porque a característica de assinatura da RE é que um gráfico da relação sinal-ruído
de saída (em inglês, Signal-Noise Ratio, SNR) tem um máximo único para alguma
intensidade de ruído de entrada diferente de zero, conforme mostra a Figura 4.3.
Semelhante a um sistema ressonante, que tem um valor máximo para uma
determinada frequência de ressonância, neste caso, a ressonância é induzida pelo
ruído e não por uma frequência específica (MCNAMARA, 1989).
A Figura 4.4, extraída de Fabiny (FABINY et al., 1989) mostra o diagrama de
blocos de um sistema biestável baseado em um circuito Schmitt trigger utilizado para
Capítulo 4 - Ruído e Ressonância Estocástica
83
verificar o fenômeno de ressonância estocástica em circuitos eletrônicos. Na Figura
4.4, o estágio responsável por acrescentar a não linearidade é o Schmitt trigger. Os
fenômenos estocásticos são descritos por equações diferenciais estocásticas, que
são equações que possuem termo de ruído.
Figura 4.3: Gráfico mostrando a relação Sinal-Ruído em função da magnitude do
ruído de entrada em um sistema não-linear. O ponto de máximo mostra o nível ótimo
de ruído e ilustra o fenômeno de ressonância estocástica, conforme descreve a
literatura. Fonte: adaptado de (ANDÒ, 1999).
Figura 4.4: Esquema para medições de ressonância estocástica
em um circuito biestável do tipo Schmitt trigger.
Capítulo 4 - Ruído e Ressonância Estocástica
84
Estas equações se classificam em equações de ruído aditivo ou multiplicativo.
Tais equações são também conhecidas como equações de Langevin. O cálculo
diferencial usado para resolver tais equações é diferente do cálculo usual (que se
baseia nas Integrais de Riemann) e por isso é denominado Cálculo Estocástico
(MCDONNELL et al., 2008). A palavra Dinâmica Estocástica se refere à evolução
temporal de variáveis aleatórias sujeitas a ruído.
4.4 Movimento Browniano e a Equação de Langevin
O movimento aleatório de uma partícula (com diâmetro em torno de 10L"
)
imersa em fluido com densidade aproximadamente igual a da partícula é chamado
movimento Browniano (MCDONNELL et al., 2008). Investigações iniciais deste
fenômeno foram feitas pelo biólogo Robert Brown em grãos de pólen e também
partículas de poeira ou outros objetos de tamanho coloidal. Quem primeiro forneceu
uma explicação para o movimento Browniano foi Albert Einstein na sua tese de
Doutorado, em 1905 (EINSTEIN, 1905). Ele obteve uma relação entre a constante
de difusão macroscópica D e as propriedades atômicas de matéria. A teoria do
movimento Browniano foi estendida a situações em que o objeto em flutuação não é
uma partícula real, mas sim alguma propriedade coletiva de um sistema
macroscópico, por exemplo, a concentração instantânea de qualquer componente
de um sistema quimicamente reativo próximo ao equilíbrio térmico. Aqui a flutuação
irregular no tempo dessa concentração corresponde ao movimento irregular da
partícula de poeira. Os sistemas estocásticos são encontrados em diferentes áreas
da física, desde o nível microscópico, como verificado na difusão de partículas num
solvente, até escalas de ordem astronômica, tal como observado em sistemas
estelares (CHANDRASEKHAR, 1943). Um exemplo interessante desse último tipo é
representado por um buraco negro (BN) no centro de um sistema estelar denso.
Teoricamente, quando a massa do buraco negro é muito grande, o BN pode adquirir
um movimento que é semelhante ao de uma partícula em suspensão em um líquido
ou num gás (CHANDRASEKHAR, 1943). Em cosmologia, movimentos brownianos
Capítulo 4 - Ruído e Ressonância Estocástica
85
são também úteis para estudar os processos galácticos aglomerados de galáxias e
vazios.
Um sistema biestável não-linear é um dos modelos típicos de ressonância
estocástica. Sua essência é uma partícula Browniana sobrecarregada em um poço
potencial biestável, acompanhado de força motriz periódica e ruído (MCDONNELL
et al., 2008). O sistema pode ser modelado usando-se a equação de Langevin de
movimento na forma:
ST(U)
SU
= a x(t) - b " ( ) + V!QR(K. ) + ƞ( )
(4.9)
onde: a e b são parâmetros do sistema, a>0, b> 0, x(t) é a saída do sistema
(no caso da partícula, é a posição da partícula- lembrando que x(t) pode ser um vetor
com grau 3 de liberdade e assim indicar a posição de uma partícula no espaço
tridimensional,
porém
aqui
trataremos
apenas
do
caso
unidimensional)
e V!QR(K. ) é o sinal de entrada, onde A e K são a amplitude e a frequência do
sinal de entrada respectivamente. Além disso, há um ruído aditivo com intensidade
ƞ( ). Para o caso particular e simplificado onde A = 0 e ƞ( ) = 0, o sistema bi-estável
não linear assume a forma da equação resumida (4.10):
ST(U)
SU
= a x(t) - b " ( )
(4.10)
Para este caso mais simples, pode-se obter através de integração a equação
da energia potencial
U(x) que age sobre a partícula cuja posição é x(t). Esta
equação é dada por (4.11), e é a clássica equação do poço de potencial biestável
simétrico simples, uma equação biquadrada de quarta ordem na variável x:
X( ) = −
;
+
:
Z
Z
(4.11)
O gráfico da energia potencial da partícula sob movimento Browniano, na
ausência de ruído aditivo e na ausência de forças externas é então mostrado na
Figura 4.5.
Capítulo 4 - Ruído e Ressonância Estocástica
86
Figura 4.5: Gráfico que ilustra a energia potencial de uma partícula em movimento
Browniano, na ausência de ruído aditivo e de forças externas.
Pelo gráfico ilustrado na Figura 4.5, pode-se perceber que existem duas
posições x(t) onde a partícula se encontra no nível mínimo de energia potencial:
x(t)=-[3/5 e
x(t)=+[3/5. Nestas duas posições, embora exista energia potencial
e ambas possuem o mesmo nível de energia, a partícula não pode, teoricamente,
permutar sua posição entre elas. A barreira de potencial que separa esses dois
pontos é ∆X = 3 /45. Num liquido onde ocorre movimento Browniano, por exemplo,
é o ruído aditivo proveniente da agitação térmica das moléculas do líquido, o
responsável por movimentar a partícula entre essas duas posições. Se uma força
periódica fraca for aplicada à partícula, a barreira de duplo potencial é
assimetricamente movimentada para cima e para baixo, periodicamente aumentando
e diminuindo o potencial. Quando ocorre uma sinergia entre o sínal e o ruído, a
energia da partícula torna-se maior, acima da barreira de potencial, e então ocorre a
ressonância estocástica e a partícula pode se movimentar entre esses pontos de
equilíbrio.
Por conta da cooperação entre sinal e ruído, existe a intensidade ideal de
ruído que pode maximizar a resposta de saída com a frequência f. Ao aumentar a
intensidade do ruído, muitas transições são ativadas pelo ruído durante um ciclo da
entrada periódica, e a cooperação entre sinal e ruído é perdida novamente. Esse é o
efeito RE: a resposta do sistema é mais regular em um nível de ruído finito e nãoinvasivo. Não existe aqui nenhuma violação das Leis da Termodinâmica: a energia
potencial da partícula antes e depois é absolutamente a mesma. Este modelo ilustra
o funcionamento da Ressonância Estocástica para uma única partícula imersa em
um liquido (GAO Y., 2010).
Capítulo 4 - Ruído e Ressonância Estocástica
87
4.5 Análise numérica da Equação de Langevin
A equação de Langevin é um tipo especial de equação diferencial, não
linear. No Capítulo 5 será utilizado o algoritmo de Runge-Kutta no software MATLAB
para fazer simulações numéricas que resolvem graficamente a Equação de
Langevin. Aqui será apresentado um resumo sobre o algoritmo Runge-Kutta de
quarta ordem (STERZA e BRANDI, 2016) como se segue. Partindo da Eq. de
Langevin (4.12):
ST(U)
SU
onde:
então
= a x(t) - b " ( ) + \( )
u(t) = s(t) + ƞ( ) = V!QR(2]8^ . ) + ƞ( )
_O
=
_
+ (a + 2a + 2a" + aZ )
`
(4.12)
(4.13)
(4.14)
existem a ,a , a" , aZ dados por:
a = ℎ(3
_
−5
"
_
+ \_ )
(4.15)
a = ℎ [3(
_
+
cd
) − 5(
_
+
cd "
a" = ℎ [3(
_
+
ce
) − 5(
_
+
ce "
aZ = ℎ [3(
_
+ a" ) − 5(
_
Nas equações (4.15) a (4.18),
_
) + \_O ]
(4.16)
) + \_O ]
(4.17)
+ a" )" + \_O ]
(4.18)
e \_ são amostras de x(t) e u(t), h é o
comprimento do passo da iteração computacional. Normalmente, os softwares de
computador fazem h=1/8_ . Mostra-se experimentalmente que modificando-se o
parâmetro “a” pode-se reduzir a altura da barreira de potencial e alcançar mais
facilmente a ressonância e uma escolha razoável do parâmetro h pode otimizar os
resultados da simulação. Para simplificar o trabalho de simulação computacional,
Capítulo 4 - Ruído e Ressonância Estocástica
88
inicialmente faz-se a=b=1. Com a finalidade de alcançar a ressonância estocástica,
ajusta-se a amplitude do sinal A e a intensidade do ruído ƞ( ) mantendo-se a e b
constantes. O fraco sinal periódico de amplitude A=0,4V e frequência 8^ =0,01Hz
foram os parâmetros simulados.
Para a simulação utilizando o MATLAB, a
frequência de amostragem adotada foi igual a 8f =5Hz no momento de se processar
a transformada rápida de Fourier (FFT). A intensidade do ruído foi variada, de modo
a se observar o fenômeno de RE. As simulações encontram-se no Capitulo 5
seguinte.
4.6 Sistema de Ressonância Estocástica Baseado em Limiar (SL)
Na Figura 4.6, adaptada de (BARRETO, 2015), é mostrado o diagrama de
blocos de um Sistema de Ressonância Estocástica baseada em limiar (SL). O sinal
de entrada a ser medido é representado pela função s(t), e ƞ(t) é o ruído adicionado
ao sinal de entrada, resultando na variável x(t). A saída do sistema é representada
por y(t) que é uma função T[x(t)] onde T[.] é uma transformação não-linear. Uma
possível forma para T[x(t)] é dada pela equação (4.19):
g( ) ≠ 0 R
g( ) = 0 R
( )≥j
( )<j
onde T é um Limiar determinado experimentalmente em cada caso.
Figura 4.6: Modelo de um sistema RE baseado em Limiar, SL (BARRETO, 2015).
(4.19)
Capítulo 4 - Ruído e Ressonância Estocástica
89
O mecanismo através do qual age o fenômeno RE baseado em Limiar pode
ser representado esquematicamente através da Figura 4.7. Nesta Figura 4.7(a), um
sinal periódico senoidal no tempo é aplicado à entrada de um sistema SL, mas sua
amplitude não ultrapassa o limiar T, resultando numa saída nula. A Figura 4.7(b)
ilustra a aplicação de um ruído aditivo que em alguns momentos no tempo atinge o
valor limiar; neste caso, a saída do sistema apresenta tensão ou corrente diferentes
de zero em alguns momentos. Por fim, a Figura 4.8 mostra o caso em que o sinal
periódico mostrado em 4.7(a) é somado ao ruído: a saída apresentará valores
diferentes de zero acompanhando a periodicidade do sinal de entrada, que de outro
modo permaneceria indetectável (BARRETO, 2015).
Figura 4.7: (a) Sinal de entrada periódico, com nível de intensidade abaixo do limiar
T, resultando em saída zero; (b) Entrada de ruído, resultando em saída proporcional à
quantidade de vezes que o ruído atinge o limiar T (MCDONNEL, 2009).
Figura 4.8: Sinal de entrada periódico com ruído, resultando em uma saída
discriminando a frequência do sinal de entrada (MCDONNEL, 2009).
O sistema de arranjo de sistemas limiares foi pioneiramente proposto por
Stocks em 2000 (STOCKS, 2000). Esse trabalho inicial considerou um sinal de
entrada aperiódico, mostrando que com o dispositivo assim construído, obtinha um
Capítulo 4 - Ruído e Ressonância Estocástica
90
desempenho maximizado com a introdução de ruído. A condição para o sistema de
arranjos de SL é que o mesmo tenha mais que um dispositivo SL, e o nível de limiar
T seja igual para todos eles. O fenômeno RE ocorre independentemente de o nível
do sinal de entrada estar inteiramente abaixo do limiar (sub-limiar) ou não, e de fato,
o pico do desempenho é máximo quando o nível de limiar é estabelecido e igual à
média do sinal. A denominação de ressonância estocástica supra-limiar é também
utilizada para distinguir o efeito da ocorrência de ressonância estocástica em
sistema SL, em que os sinais acima do limiar não geram o efeito de ressonância
estocástica (BARRETO, 2015).
Na Figura 4.8, existem N dispositivos SL idênticos. O sinal de entrada s(t)
consiste de uma sequência de amostras não-correlacionadas em tempo discreto
obtida de uma distribuição de probabilidade com valores contínuos. Cada dispositivo
recebe o mesmo sinal de entrada s(t), e é sujeito a um ruído aditivo independente,
ƞi(t). O ruído é um sinal aleatório, independente do sinal de entrada, s(t). A saída do
n-ésimo dispositivo, yi(t) é unitária se a soma do sinal e ruído é maior que o limiar T,
e zero caso contrário. A saída geral, y(t), é a soma das saídas individuais, yi(t)
(MCDONNEL, 2009).
Figura 4.9: Diagrama de um arranjo de SLs em paralelo (MCDONNEL, 2009).
Capítulo 4 - Ruído e Ressonância Estocástica
91
4.7 Dithering
O efeito chamado dithering (ou dither, em alguns textos) ocorre quando se
adiciona deliberadamente um ruído aleatório (ou pseudo-aleatório) sobre um sinal
que contém a informação desejada, antes da sua digitalização ou quantização com
a finalidade de randomizar o erro de quantização (SCHUCHMAN, 1964). Uma
aplicação prática importante deste efeito é em gravação de música por métodos
digitais: trata-se de um ruído de banda larga adicionado ao sinal de áudio (por
exemplo) perto do bit menos significativo. O bit menos significativo, também
conhecido como LSB (Least Significant Bit), é a menor amplitude de sinal que pode
ser gravada por um sistema digital. Ou seja, o dither cria um ruído de fundo no
menor nível que pode ser gravado pelo sistema. Pelo fato de o dither ser um tipo de
ruído, ele essencialmente adiciona alguma aleatoriedade em sinais de áudio digital
de níveis baixos, o que por sua vez ajuda a mascarar os erros de quantização digital
do sinal de áudio. O resultado é que o sinal baixo é percebido como um ruído de
fundo, conhecido como noise floor, que é bem mais agradável ao ouvido humano do
que as distorções da quantização digital do sinal de áudio sem o dither
(MCDONNEL, 2008). Devido ao fato de o dither permitir que sinais baixos possam
ser gravados e ouvidos, ele deve ser usado quando converte-se sinais de uma
resolução mais alta para uma mais baixa (por exemplo, de 24 bits para 16 bits). Isso
irá permitir uma maior integridade dos sinais baixos no novo arquivo com a
resolução mais baixa. Quando o dither é aplicado, ele cria o ruído de fundo no nível
mais baixo que a nova profundidade de bits suporta. Uma vez que a conversão é
completada, o novo arquivo de áudio terá um ruído de fundo ligeiramente
aumentado, mas as partes mais baixas da gravação ainda poderão ser ouvidas sem
que os erros de quantização sejam perceptíveis. Usa-se o dither em passagens com
um sinal de muito baixa amplitude. Elas terão uma menor distorção causada pela
quantização digital. Esta randomização, embora aumente a potência total do ruído
na saída, reduz os efeitos de distorção harmônica indesejáveis introduzidos pela
quantização. Dado que o dithering é uma maneira de melhorar um sistema usando a
presença de ruído, a questão é como distinguí-lo do fenômeno de RE? Isto é, se o
sistema que está sendo estudado assemelha-se ao dithering, se o comportamento
Capítulo 4 - Ruído e Ressonância Estocástica
92
do sinal melhorado a partir da adição do ruído, o fenômeno em questão deve ser
chamado de dithering ou de RE ?
Gammaitoni e colaboradores propuseram uma fórmula para calcular o efeito
dithering (GAMMAITONI, 1998) mostrada na equação (4.20):
( = [7(k[g( )] −
) 9
(4.20)
onde x é o sinal de entrada para um sistema com limiar (threshold system),
y(.) é o sinal de saída e E[.] indica a expectância. Esta equação mostra que D é o
valor RMS do erro entre o sinal de entrada e a média do sinal de saída. Após a
publicação do artigo que definiu a equação (4.20) alguns autores questionaram o
fato de que esta equação atribui um peso uniforme para cada possível valor do sinal
de entrada x. Foi proposta então outra definição, levando-se em conta que o sinal de
entrada é proveniente de uma distribuição aleatória (ou caótica) com PDF dada por
f(x). Esta definição é dada pela equação (4.21), que leva em conta a probabilidade
de variação de cada valor de x:
( = [7(k[g( )] − ) 8( )9
(4.21)
A fórmula (4.21) se mostra mais eficiente para sistemas com ruído, devido a
natureza aleatória da entrada x (MCDONNEL et al., 2008).
Alguns anos após esta definição ter sido apresentada, Andò e Graziani
sugeriram que RE e dither são fenômenos distintos porque RE é um fenômeno que é
observado no mundo natural e dither é uma técnica artificial (ANDÒ E GRAZIANI,
2001). Alguns autores que estudaram RE (GAMMAITONI, 1998) preferem classificar
o dithering como uma forma diferente de processamento de sinais aprimorado por
ruído. No entanto, isso requer uma definição bastante restritiva de RE, onde o
sistema deve ser dinâmico, e a presença de ruído permite uma correspondência de
duas escalas de tempo. Tal definição foi substituída na literatura atual. A definição
contemporânea de RE é tal que o dithering pode ser descrito como uma técnica que
explora a RE, e os dois termos não são mutuamente exclusivos (MCDONNEL et al.,
2008).
Capítulo 4 - Ruído e Ressonância Estocástica
93
4.8 Medidas de performance para RE
A performance da Ressonância Estocástica para ampliar o sinal e a
informação tem sido medida de diversas maneiras diferentes, conforme descreve a
literatura. Como técnicas de medição para a melhoria obtida para o sinal, cita-se:
a) Medidas da relação sinal-ruído - SNR (BENZI et al. 1982);
b) Amplificação de potência espectral (MCDONNEL et al., 2008);
c) Coeficiente de correlação (COLLINS et al., 1995);
d) Informação mútua (LEVIN e MILLER, 1996);
e) Entropia de Kullback (NEIMAN et al. 1996);
f) Capacidade do canal (CHAPEAU-BLONDEAU,1997; GREENWOOD et al.
1999);
h) Divergências - φ (INCHIOSA et al. 2000);
i) Distorção quadrada média (MCDONNELL et al. 2008).
A ressonância estocástica foi analisada também em termos de distribuições
de tempo (GAMMAITONI et al., 1998) , bem como pelo método denominado
"Receiver Operating Characteristic" (ROC) (ROBINSON et al. 1998, GALDI et al.
1998,
ZOZOR e AMBLARD, 2002), que são baseados em probabilidades de
detectar um sinal para estar presente, ou falsamente detectando um sinal inexistente
(URICK, 1967).
Neste trabalho optou-se por analisar a performance do equipamento de
ressonância estocástica utilizando-se o método de medição da SNR, em virtude de
ser o mais adequado levando-se em conta a instrumentação de que o autor dispõe,
e também por ser um método bastante descrito na literatura e que pode fazer uso da
Transformada Rápida de Fourier (FFT), ferramenta largamente disponível nos
osciloscópios digitais e nos softwares matemáticos, tais como MATLAB.
Capítulo 4 - Ruído e Ressonância Estocástica
94
4.9 Relação Sinal/Ruído
A Relação Sinal/Ruído (do inglês, SNR, Signal Noise Ratio) é a relação entre
a potência do sinal que transmite a informação e a potência do ruído:
Jl =
mn*opq
m+r*st
(4.22)
Na equação (4.22), 1. representa as potências médias do sinal e do ruído,
que precisam ser medidas no mesmo ponto do circuito e sob a mesma largura de
banda. Se o sinal e o ruído forem medidos sobre a mesma impedância, então a
SNR é dada pela relação entre a amplitude (valor RMS de tensão) de cada uma
delas, de acordo com a equação (4.23) (LENG et al., 2007)
Jl = u
vn*opq
v+r*st
w
=
d o
∑
fe
o xyd x
d o
∑
/e
o xyd x
(4.23)
onde:
A é o valor quadrático médio (RMS) da amplitude;
s é o vetor que representa o sinal original;
r representa o vetor de ruído;
n o comprimento do vetor do sinal.
A SNR também pode ser medida em decibéis através da relação dada pela
equação (4.24):
Jl
Sz
= 10. {Q| I u n*opq w = 20 . {Q| I u n*opq w
m
v
m
+r*st
v
+r*st
(4.24).
Do ponto de vista estatístico, SNR é definida como a relação entre a
expectância do sinal e seu desvio padrão como mostra a equação (4.25) :
Jl =
}
~
(4.25)
Capítulo 4 - Ruído e Ressonância Estocástica
95
onde: • é a média, ou o valor esperado, esperança do sinal ou expectância;
€ é o desvio-padrão do sinal em relação ao valor •.
Observa-se também que, para o caso bidimensional, a Variância (desviopadrão elevado ao quadrado) é dada pela equação:
OP
€ = k[( − g) ] = 7LP ( − g) 8( )9
(4.26)
onde x e y representam variáveis bidimensionais (como os pontos de uma
imagem por exemplo), E é a expectância do sinal e f(x) é a função que respresenta a
intensidade do ruído em cada ponto x de uma imagem.
No caso discreto, onde o sinal é representado por uma variável aleatória Z
discretizada, o parâmetro • na equação (4.18) pode ser representado pela média
aritmética simples dos valores de =. . Mas no caso de um sinal representado por
uma variável contínua X, então • representa a expectância e pode ser calculada
através da equação (4.27):
• = k( ) = 7LP 8( )9
P
(4.27).
4.10 Aplicações práticas da Ressonância Estocástica
Um exemplo muito interessante de aplicação do fenômeno RE em um
dispositivo eletrônico foi descrito no artigo de Chiga e colaboradores (CHIGA, 2015).
Neste artigo, que descreve um trabalho desenvolvido na Universidade de Nagoya
(Japão), os autores construíram um receptor para sinais muito fracos de RF (RádioFrequência) baseado em um circuito Schmitt trigger, exemplificando o uso de
Ressonância Estocástica em sistemas receptores sem fio. O Schmitt trigger é um
circuito eletrônico capaz de produzir o fenômeno RE e é muito simples de
implementar na prática a partir de um comparador de tensão. Na Figura 4.9(a) é
Capítulo 4 - Ruído e Ressonância Estocástica
96
mostrada graficamente a curva de transferência entre a tensão '. de entrada e a
tensão '^ que caracteriza um circuito Schmitt trigger. Na Figura 4.9(b) é mostrado o
esquema de um amplificador operacional na configuração comparador de tensão.
Nesta configuraçãoção, a tensão '. aplicada em sua entrada, a tensão '^ presente
na saída e os dois níveis de limiares +ƞSR e –ƞSR expressam a histerese que
caracteriza a relação de transferência mostrada no gráfico da Figura 4.9(a). O
modelo do sistema de comunicação digital sem fios aplicando o fenômeno RE
proposto por (CHIGA et. al., 2015) é representado através do diagrama de blocos
da Figura 4.10. Um receptor de RF convencional é utilizado e não precisa ser
modificado. Este receptor é representado pelo bloco RX na Figura 4.10.
Figura 4.10: (a) Curva de Transferência de um comparador com histerese (Schmitt
trigger); (b) Diagrama elétrico de um amplificador operacional ligado como
comparador, que exibe a curva mostrada em (a). Fonte:(CHIGA et. al., 2015).
O sinal s(t) é transmitido pelo transmissor TX e este sinal se propaga até o
receptor pelo canal de comunicação. Através deste canal (que pode ser o ar) o sinal
transmitido é atenuado por um fator α e o canal de ruído ƞ ( ) é adicionado para
atenuar o sinal. Em geral o canal de ruído ƞ ( ) é do tipo Gaussiano de média zero.
Assim, o sinal r(t) é definido pela equação (4.28):
•( ) = R( ) + ƞ ( )
(4.28)
Neste receptor proposto por Chiga e colaboradores, o sinal recebido r(t) é a
entrada do dispositivo RE. O circuito RE é composto pelo gerador de ruído
intencional e pelo circuito Schmitt trigger (mostrado na Figura 4.9(b) ) e o ruído
Capítulo 4 - Ruído e Ressonância Estocástica
97
ƞ ‚ ( ) é somado ao sinal r(t) com a finalidade de otimizar a resposta do Schmitt
trigger. A amplitude do ruído ƞ ‚ ( )é ajustável. O circuito não-linear formado pelo
Schmitt trigger detecta o sinal s(t) a partir de r(t) + ƞ ‚ ( ) e a saída do sistema
“dispositivo RE” • ƒ ( ) é a entrada para o receptor convencional RX. O receptor
convencional RX demodula e obtém os bits de dados do sinal digital que foi
transmitido por TX. Os pesquisadores assumiram que o sinal atenuado
R( ) é
inferior à sensibilidade do receptor convencional RX sozinho. Deste modo, se o
receptor não contém o bloco de Ressonância Estocástica representado por
“dispositivo RE adicionado” na Figura 4.11, então o receptor RX não pode detectar o
sinal.
Dispositivo RE
adicionado
s(t)
TX
X
+
α
Atenuação
do canal
r(t)
nc(t)
Ruído
do canal
+
r’(t)
RX
nRE(t)
Ruído
intencional
Figura 4.11: Diagrama de blocos mostrando o experimento de Ressonância
Estocástica descrito por Chiga: Receptor RX de RF digital sem modificações, usando
o dispositivo de Ressonância Estocástica RE. Fonte: adaptado de (CHIGA et. al,
2015).
A Figura 4.12 mostra em (a) o diagrama elétrico do “dispositivo RE” e em (b) o
aspecto do protótipo construído pelos autores (CHIGA, 2015).
Figura 4.12: (a) Esquema elétrico do comparador com histerese; (b) aspecto do
protótipo construído por (CHIGA et. al, 2015).
Capítulo 4 - Ruído e Ressonância Estocástica
98
Os resultados obtidos pelos autores são mostrados através do gráfico
ilustrado na Figura 4.13. O eixo das abscissas mostra o nível de ruído aplicado e o
eixo das ordenadas mostra a relação Sinal/Ruído para o sinal presente na saída, em
decibéis. A curva em verde (“Convencional”) mostra os resultados quando se utiliza
um Schmitt trigger implementado a partir de um circuito integrado do tipo LM7171
Texas Instruments, cuja largura de banda é de 140MHz; A curva “Proposta” em
vermelho mostra os resultados dos experimentos utilizando-se o comparador de alta
velocidade modelo ADCMP607 Analog Devices, cuja largura de banda é de
750MHz. Pelos resultados mostrados na Figura 4.13 fica evidente que a largura de
banda do comparador utilizado é fundamental para se obter bons resultados nos
sistemas que funcionam com base no fenômeno RE, pelo menos para a faixa de
frequências usada nos testes de Chiga et. al. A escolha correta dos componentes é
decisiva na obtenção do fenômeno de Ressonância Estocástica.
Na Figura 4.13, sempre que a relação SNR (eixo das ordenadas) se encontra
abaixo de 0 decibéis não existe nenhum ganho no sinal devido à RE. Acima de 0
decibéis o ganho é mensurável. A melhor relação SNR obtida foi igual a 25 decibéis,
o que equivale a amplificar o sinal cerca de 316 vezes, que mostra o elevado ganho
que se pode obter usando RE. Note-se que o receptor original não podia detectar
este sinal antes que o dispositivo RE fosse adicionado ao sistema.
Outra área onde as aplicações práticas do fenômeno RE são importantes é na
detecção de sinais ópticos de nível muito baixo. Por exemplo, na espectroscopia
convencional uma fonte de luz que contém diversos comprimentos de onda
atravessa um prisma, onde seu espectro eletromagnético é decomposto. A seguir,
feixes de diversos comprimentos de onda atravessam uma cubeta que pode conter
uma amostra de um liquido ou gás que se deseja analisar. Este esquema é
mostrado na Figura 4.14. A amostra na cubeta pode conter uma mistura de
moléculas em solução, mas cada molécula apresenta um padrão de absorção
diferente para cada comprimento de onda da luz aplicada. Um sensor eletrônico
(chamado na Figura 4.14 de “Detector”) que pode ser um tipo especial de CCD,
captura a imagem resultante e deve ser capaz de medir as intensidades de cada
comprimento de onda incidente. De acordo com as taxas de absorção para cada
comprimento de onda, o Detector, que é ligado a um computador que executa um
Capítulo 4 - Ruído e Ressonância Estocástica
99
software especial, é capaz de detectar e identificar quais são as moléculas presentes
na solução em análise que se encontra na cubeta.
Figura 4.13: Medições utilizando-se o circuito mostrado na Figura 4.11. Dois CIs
Schmitt trigger foram testados. A Curva "Proposed” foi obtida com o uso do
ADCMP607 e a curva “Conventional” foi obtida com o LM7171. Fonte: (CHIGA et. al,
2015).
Por exemplo: é possível medir a glicemia (taxa de açúcar no sangue)
conhecendo-se previamente o padrão de absorção luminosa da molécula de glicose.
O mesmo ocorre para outras moléculas que tem importância clínica, como por
exemplo, colesterol, triglicerídeos, ácido úrico e outras proteínas. A Espectroscopia
(em todas as suas variantes) é uma técnica muito importante para os laboratórios
químicos. Ocorre que muitas vezes os sinais luminosos recebidos pelo Detector
podem ser muito fracos. O uso de um disco difusor de luz rotativo (Rotary diffuser)
como o mostrado na Figura 4.14 e mais uma fonte de luz interferente pode melhorar
bastante o desempenho dos equipamentos de espectroscopia, conforme proposto
por Qbing et al. (QIBING, 2015). Na mesma linha, outro trabalho bastante original e
criativo aplicando RE foi publicado por Huiyu e colaboradores (HUIYU et al., 2001).
Neste trabalho, os autores propõem o uso de RE na construção de um
Espectroscópio Fotoacústico. O dispositivo é descrito como se segue. Um tubo
contém alguma amostra desconhecida de algum gás que se deseja identificar. Um
feixe de luz do tipo Laser excita a amostra, fazendo com que os átomos do gás
emitam luz e calor em uma taxa que depende do tipo do gás que se encontra
confinado. Um microfone capta as variações de pressão sofridas pelo gás ao ser
Capítulo 4 - Ruído e Ressonância Estocástica
100
excitado pelo Laser. Os sinais produzidos pelo microfone são amplificados através
de amplificadores eletrônicos convencionais (PERRIN, 2012).
Figura 4.14: Proposta de um Espectrômetro melhorado, muito mais sensível. O efeito
RE é introduzido a partir de uma segunda fonte luminosa (CW Laser) que apresenta
ruído acrescentado por um disco rotativo difusor (Rotary diffuser). Fonte:Adaptado
de (UFJF, 2016).
O espectro de frequências sonoras emitido pelos átomos do gás excitado e
captado pelo microfone permite identificá-lo. Um esquema de Espectroscópio
Fotoacústico convencional é mostrado na Figura 4.15 (HUIYU et. al., 2001).
Entretanto, muitas vezes os sinais produzidos são muito fracos. Para melhorar estes
sinais, uma perturbação (ruído) é acrescentada ao feixe de Laser que excita a
amostra, através de um disco rotativo que produz perturbações no feixe de Laser
incidente. O Laser mais o ruído produzem, através do fenômeno de ressonância
estocástica, um sinal mais forte que é captado pelo microfone, facilitando a
identificação da amostra. Esta ideia é ilustrada na Figura 4.16.
Figura 4.15: Esquema de um espectroscópio fotoacústico convencional. Adaptado de
(HUIYU et. al., 2001).
Capítulo 4 - Ruído e Ressonância Estocástica
101
Figura 4.16: Esquema de um Espectroscópio fotoacústico melhorado através da
implementação de RE, conforme proposto por Huiyu. O disco rotativo “Chopper”
acrescenta ruído no feixe de luz incidente, melhorando a relação SNR através do
fenômeno RE. Adaptado de (HUIYU et. al., 2001).
4.11 Aplicações de RE em Biomedicina
Um outro exemplo de aplicação de RE é na ventilação mecânica de suporte à
respiração: introduzindo-se ruído aleatório no controle de velocidade do ventilador
artificial, foi verificado melhoria no desempenho de respiração no paciente
(LEFEVRE, 1996). Um tutorial sobre os efeitos de Ressonância Estocástica
verificados em processamento de informação de sistemas sensoriais pode ser
encontrado no artigo de Frank Moss e colaboradores (MOSS, 2003). Ainda outro
exemplo
importante
de
aplicação
prática
de
ressonância
estocástica
em
equipamentos biomédicos foi proposta por Morse e Evans, em implante coclear para
restaurar a audição de indivíduos com surdez profunda. Nesse caso, uma
estimulação eletrônica direta do nervo auditivo usando um implante cirúrgico de
arranjo de eletrodos produz um ruído no nível subliminar (MORSE, 1996),
amplificando os sinais e melhorando a audição do paciente.
Capítulo 4 - Ruído e Ressonância Estocástica
102
4.12 Considerações Finais
Neste Capítulo 4 foi apresentado o conceito de Ressonância Estocástica, a
ser explorado do ponto de vista experimental nesta tese de Doutorado. Diversas
aplicações do fenômeno RE foram também descritas. O próximo Capítulo, de
número 5, apresenta os resultados de diversas simulações, tanto matemáticas
(através do software MATLAB) quanto de circuitos eletrônicos, onde se utilizou o
simulador MULTSIM fornecido por National Instruments.
Capítulo 5 - Simulações Computacionais
103
Capítulo 5
CAPÍTULO 5 -
SIMULAÇÕES COMPUTACIONAIS
Neste Capítulo são relatadas algumas simulações computacionais visando obter o efeito
de amplificação através do fenômeno de ressonância estocástica (RE). Parte deste
Capítulo se refere às simulações das equações matemáticas envolvidas no efeito RE e
outra parte se refere às simulações dos circuitos eletrônicos que serão implementados
na prática, visando construir o equipamento denominado REAC (Ressonador Estocástico
Assistido por Computador), em particular dos circuitos que introduzem a não-linearidade
necessária para RE (juntamente com o ruído Gaussiano), ou seja, dos circuitos Schmitt
trigger e amplificadores não-lineares baseados em Amplificadores Operacionais.
5.1 Simulações matemáticas
Algumas simulações matemáticas foram feitas com o objetivo de se verificar o
fenômeno RE através das equações que o representam, em particular a equação de
Langevin, mostrada no Capítulo 4.
5.1.1 Geração do ruído branco aditivo
Seja ƞ(t) o ruído branco gerado pelo MATLAB através do comando (5.1):
sinal_com_ruido = awgn ( sinal , snr );
(5.1)
onde: sinal é o sinal puro sem ruído (neste caso, são senóides) ;
snr
é a razão por amostra de sinal/ ruído em decibéis .
O resultado desta operação é uma vetor “sinal_com_ruido“ que aparenta o
sinal trigonométrico original, com a adição do ruído branco.
O software MATLAB também pode gerar apenas o ruído branco, através do
seguinte comando (5.2):
Capítulo 5 - Simulações Computacionais
ruido = awgn (m, n, energia, imp );
104
(5.2)
onde: m é o número de elementos da matriz-coluna de ruído branco;
n
é o número de canais do ruído produzido;
energia especifica a potência do ruído, em dbW
imp especifica a impedância (em Ω) do canal onde o ruído é aplicado.
Isso é interessante para simulação de circuitos eletrônicos.
Para a primeira simulação, foram produzidos sinais senoidais de amplitude
variando entre 0,1V até 0,4V e frequência constante e igual 0,01Hz. Ao lado de cada
sinal de diferentes amplitudes, foi plotado o gráfico do espectro de amplitude de
cada sinal na frequência dada. Para este segundo gráfico, foi usado o recurso de
FFT (Fast Fourier Transform) com frequência de amostragem igual a 5Hz. O
resultado destas simulações é mostrado na Figura 5.1.
Figura 5.1: Resultado das simulações para produção de sinais senoidais de
amplitude (a) A=0,1V, (b) A=0,2V, (c) A=0,3V e (d) A=0,4V feitas com o software
MATLAB. Na coluna da direita pode-se observar o espectro de amplitude para cada
sinal, obtido a partir da Transformada Rápida de Fourier.
Para produzir os gráficos mostrados na Figura 5.1, o seguinte código
MATLAB mostrado na Figura 5.2 foi usado e repetido 4 vezes, uma vez para cada
sinal.
Capítulo 5 - Simulações Computacionais
105
Figura 5.2: Código MATLAB utilizado para simular os sinais puros e os gráficos de
FFT.
A seguir, os mesmos sinais simulados e mostrados na Figura 5.1 foram
adicionados ao ruído Gaussiano aditivo com relações sinais/ruído (SNR)
respectivamente iguais a 30, 25, 20 e 15. Na Figura 5.3 pode-se observar que os
mesmos sinais gerados e simulados na Figura 5.1 aparecem corrompidos pelo ruído
Gaussiano. Nos exemplos simulados na Figura 5.3, o sinal ainda é distinguível do
ruído, pois os valores de SNR ainda são relativamente elevados. Entretanto, pode-
Capítulo 5 - Simulações Computacionais
106
se, para efeito de simulação, diminuir ainda mais a relação sinal/ruído, provocando
forte corrompimento do sinal senoidal original.
Uma outra maneira de adicionar
ruído ao sinal, utilizando-se o software MATLAB, é usar a função rand( ) do
MATLAB. A Figura 5.4 mostra o código-fonte da simulação usando-se a função
rand( ) para produzir ruído aleatório e adicionar ao sinal. É importante notar que
existe uma diferença entre a função awgn( ) (add_white_gaussian_noise)
e a
função rand( ). A primeira produz realmente o ruído branco gaussiano enquanto que
a
segunda
produz
ruído
aleatório
com
distribuição
uniforme,
mas
necessariamente gaussiano.
Figura 5.3: Os mesmos sinais senoidais puros mostrados na Figura 5.1, agora
aparecem corrompidos pela adição do ruído aleatório. À direita, o resultado da
aplicação da FFT unilateral a cada sinal. Pode-se observar pelo gráfico no domínio da
frequência a presença do ruído. Nestes casos, o sinal ainda é identificável, porque o
nível de ruído não é muito elevado.
não
Capítulo 5 - Simulações Computacionais
107
Figura 5.4: Código-fonte utilizando MATLAB para simular sinal+ruído gerado através
da função rand( ).
O código mostrado na Figura 5.4 produz como resultado um sinal fortemente
corrompido pelo ruído. A Figura 5.5 mostra o resultado da simulação do código
mostrado na Figura 5.4, onde pode-se observar em (A) o sinal senoidal puro de
amplitude igual a 0,4V e frequência 0,01Hz; em (B) o ruído que foi gerado através do
uso da função rand( ) com amplitude igual a 2,0V; em (C) o resultado da adição
sinal+ruído e finalmente, em (D), é mostrado o gráfico do espectro de amplitude no
domínio da frequência, através da aplicação do recurso de FFT ao sinal+ruído do
item (C).
Figura 5.5: Gráficos mostrando em (a) o sinal senoidal de amplitude 0,4V; (b) ruído
produzido através da função rand( ) com amplitude igual a 2,0V; (c) resultado da
adição sinal+ruído e (d) gráfico do espectro de amplitude no domínio da frequência.
Capítulo 5 - Simulações Computacionais
108
A desvantagem do uso da função rand( ) para se gerar o ruído é que não é
possível determinar previamente qual será o valor de SNR do sinal resultante. O
SNR precisa ser calculado a posteriori. A vantagem é que utilizando-se a função
rand( ) ao invés da função awgn( ) pode-se variar o nivel de ruído de modo a
procurar o nível que possibilita o maior valor para SNR, o que caracteriza o
fenômeno de RE pesquisado, embora rand( ) não produza ruído branco gaussiano,
conforme já foi mencionado. Para a simulação mostrada na Figura 5.5, foi calculado
o valor de SNR(dB) para diversos níveis de ruído, mostrados na Tabela 5.1. Com a
finalidade de obter o valor da SNR em decibéis para construir a Tabela 5.1, foi
utilizado o comando (5.3) do software MATLAB:
r = snr (x, y)
onde:
(5.3)
r retorna a relação sinal-ruído (SNR) em decibéis de um sinal, x,
calculando a razão entre a soma de sua magnitude quadrada e a do ruído, y.
Tabela 5.1: Valores de SNR variando o nível do ruído para a simulação da Figura 5.5.
Figura 5.6: Gráfico mostrando o ponto de RE: o eixo horizontal representa valores do
desvio padrão do ruído (amplitude do ruído) e o eixo vertical mostra os valores de
SNR(db) calculados através do MATLAB para a simulação mostrada na Figura 5.5.
Capítulo 5 - Simulações Computacionais
109
Observando-se o gráfico mostrado na Figura 5.6 e a Tabela 5.1, verifica-se
que o valor do ruído que maximiza a SNR é de 0,75 volts. O gráfico mostrado na
Figura 5.6 mostra o ponto onde ocorre RE, de acordo com a literatura sobre RE
pesquisada.
5.1.2 Equação de Langevin
Para resolver a equação de Langevin e simular matematicamente o fenômeno
de RE, foi utilizado o algoritmo de Runge-Kutta, conforme descrito no Capítulo 4. Um
código foi escrito no MATLAB para obter a solução da equação diferencial de
Langevin, (5.4):
x’ = α - b
"
+ Fe(t) + ƞ(t)
(5.4)
onde ƞ(t) é o ruído branco que foi acrescentado utilizando-se a função awgn( ) do
MATLAB. A equação de Langevin é mostrada novamente em (5.4) e representa a
coordenada de posição x(t) de uma partícula que executa movimento Browniano em
função do tempo t. Vamos considerar que tal partícula se encontra em um poço de
potencial na presença de ruído aditivo, com dois mínimos, em presença de uma
fraca força que varia com o tempo de modo a obedecer a função cosseno, com
frequência angular K= 2 ]8. A expressão para a força Fe(t) é, por hipótese, dada
pela equação (5.5):
Fe(t)=A cos(2 ]8 )
(5.5)
A energia potencial da partícula em cada posição de abcissa x é descrita pelo
poço duplo de potencial, cuja equação é dada pela expressão (5.6):
U(x) =3
Z
-b
(5.6)
O gráfico da energia potencial em função da posição é mostrado na Figura
5.7, para a=1 e b=3.
Capítulo 5 - Simulações Computacionais
110
Figura 5.7: Poço de duplo potencial, ilustrando a energia potencial de uma partícula
que executa movimento Browniano em processos estocásticos.
Força é a derivada primeira de um potencial em relação à posição x com sinal
negativo (NUSSENSVEIG, 2013); A força devida ao potencial U(x) (que é também a
força resultante sobre a partícula, por hipótese) é dada pela equação (5.7)
F= -
S„
ST
= (- 43
"
+ 2b ) + Fe(t) = mSU e
Se T
(5.7)
A última igualdade na equação (5.7) é garantida pela segunda lei de Newton
do movimento. O modelo parte da suposição de que a força derivada do potencial é
a resultante sobre a partícula, portanto tal hipótese está de acordo com este modelo.
ST
Considerando ainda uma força dissipativa –c SU e uma força de ruído ƞ(t)+Fe(t )(neste
modelo, o ruído e o sinal estão acoplados sob o mesmo termo na equação), a
equação de movimento fica como a (5.8):
m SU e = –c. SU − 43
Se T
ST
"
+2bx+ ƞ(t)+Fe(t)
(5.8)
No limite, em que o termo de inércia é desprezível, isto é, o valor da massa m
é pequeno em relação a outras grandezas envolvidas (m≅ 0) e escolhendo c=1,
4a=1 e 2b= , obtemos a equação (5.4), que é a equação de Langevin. Esta equação
pode ser resolvida numericamente usando-se o MATLAB. O código foi baseado no
algoritmo de Runge-Kutta e é mostrado parcialmente na Figura 5.8. A solução da
equação de Langevin depende dos valores escolhidos para as constantes a, b e
também da forma e da amplitude de Fe(t) e da amplitude do ruído. Como a solução
Capítulo 5 - Simulações Computacionais
111
realizada pelo MATLAB é numérica, é necessário discretizar a equação de Langevin
para valores discretos do tempo ∆t.
No caso discreto, a equação (5.4) se torna a equação (5.9):
∆X = (
onde
−
"
)∆t + ∆ƞ( )
(5.9)
representa a amplitude do ruído somado com a amplitude
momentânea da força excitatória Fe(t) e ∆t representa intervalos de tempo
discretizados necessários para simulação numérica por computador.
Figura 5.8: Trecho do código MATALAB usado para resolver a equação de Langevin,
baseado no método de Runge-Kutta.
Capítulo 5 - Simulações Computacionais
112
Um exemplo de solução para a equação (5.9) utilizando o código mostrado
parcialmente na Figura 5.8 é ilustrado na Figura 5.9. Nesta Figura os parâmetros
usados foram:
†áT =100,
∆t=0.01, x0=0,
=2 e
=1.
Figura 5.9: Solução da equação (5.8) de trajetória para uma partícula em movimento
Browniano realizando ressonância estocástica com o ruído aditivo. Nesta simulação
os valores dos parâmetros escolhidos foram: ‡ =2, ˆ=1, ‰Šá‹ =100, ∆t=0.01 e x0=0.
Observa-se que na Figura 5.9 os pontos de energia mínima correspondem às
abscissas P1=-1,5 e P2=+1,5. A partícula fica oscilando entre estes dois pontos
de equilíbrio e a energia para a oscilação provém da soma da força excitatória Fe(t)
e do ruído é responsável pelo processo de ressonância estocástica.
A Figura 5.10 mostra o Espectro de Amplitude obtido a partir da Transformada
de Fourier para o mesmo gráfico obtido na Figura 5.9. Pode-se observar um pico na
frequência de oscilação da força excitatória Fe(t), ou seja 0.1Hz.
Figura 5.10: Espectro de amplitude para o gráfico mostrado na Figura 5.9, mostrando
o valor da frequência de excitação, f=0.1Hz, que corresponde ao sinal fraco que se
deseja detectar.
Capítulo 5 - Simulações Computacionais
113
Na Figura 5.11 foram plotados vários gráficos das soluções para diferentes
valores de
e
de maneira que, para alguns valores, a partícula oscila durante
algum tempo em torno de um dos mínimos valores da energia potencial U(x) e
eventualmente transita para o outro mínimo. Para outros valores dos parâmetros, a
partícula não transita entre os mínimos. A ressonância estocástica ocorre quando o
período da transição entre os mínimos se iguala ao período da Força Fe(t) excitatória
e por esta razão, permite detectar mais facilmente esta força. Para as simulações a
seguir foram escolhidos ∆t = 0.01,
1 em todos os casos.
†áT =
100 e a posição inicial da partícula é x(0) =
Figura 5.11: Resultado da solução da equação (5.9) de Langevin discreta para
diferentes valores dos parâmetros ‡ • ˆ. (a) ‡ = Ž • ˆ = •; (b) ‡ = •. ‘ • ˆ = Ž; (c)
‡ = ‘ • ˆ = •. ‘; (d) ‡ = •. ‘ • ˆ = ‘; À direita são mostrados os espectros de
frequência de cada sinal usando-se FFT.
Da análise da Figura 5.11 pode-se notar que em (A), para
=2
= 1, a
partícula oscila entre dois pontos de equilibrio, que correspondem aos pontos de
mínima energia do poço de duplo potencial. Estes pontos estão marcados pelos
segmentos na cor verde; neste caso, pode-se visualizar também, à direita, a
frequência da força excitatória (que corresponde ao sinal fraco que se deseja
detectar através do fenômeno de RE) através de um pico no gráfico do espectro de
potência. Na Figura 5.11(B) para
= 0.5
= 2 não é possível identificar dois
Capítulo 5 - Simulações Computacionais
114
pontos em torno dos quais a partícula oscila e isso pode ser confirmado pela
ausência de picos significativos do gráfico à direita, que mostra o domínio da
frequência; Em (C) a partícula parece oscilar em torno de um único ponto de
abscissa x = 2,25 com a frequência de 0.1Hz que é a frequência da força excitatória
(o que pode ser confirmado pelo gráfico à direita); finalmente, em (D) para
0.5
=
= 5 a partícula não parece oscilar entre dois pontos distintos, o que pode ser
notado pela ausência de picos muito maiores no gráfico à direita. O gráfico à direita
em (D) parece mostrar uma distribuição muito mais uniforme da amplitude do ruído,
evidenciando diversas frequências, o que caracteriza o predomínio do ruído branco.
Comportamento similar ocorre com o gráfico à direita na Figura 5.11 (B).
Dentre os gráficos mostrados na Figura 5.11 somente o gráfico em (A)
evidencia a presença da ressonância estocástica como fator de detecção de um
sinal modulador mais fraco, que neste caso é a força excitatória Fe(t) cuja frequência
é de 0.1Hz. Tal sinal fraco pode ser detectado no domínio da frequência (gráfico
5.11(A) do lado direito da Figura), cujo ponto foi marcado por uma seta em verde.
5.2 Simulação de Circuitos não-lineares
Para implementar o circuito não-linear biestável necessário para obter o
fenômeno de RE ao misturar um sinal muito fraco com o ruído, três circuitos foram
simulados e analisados do ponto de vista da introdução de não-linearidade no sinal
aplicado em sua entrada. O primeiro circuito é um Schmitt trigger clássico descrito
na literatura, baseado em um único amplificador operacional. O segundo circuito é
um amplificador não-linear com curva de formato “N” e o terceiro circuito é um
amplificador não-linear com curva de formato “S”. Os circuitos mostrados em (b) e
(c) são descritos por Harmer e colaboradores (HARMER et al., 2002) e Adeel
(ADEEL et al., 2009). Na Figura 5.12 são mostradas as configurações básicas dos
três circuitos simulados.
Capítulo 5 - Simulações Computacionais
115
Figura 5.12: Três circuitos que foram simulados em computador.
Neste trabalho foi utilizado o simulador de circuitos NI MULTISIM Component
Evaluator 14.0 – MulSIMBLUE, em versão de avaliação gratuita, fornecida por
Mouser Electronics Co. Na Figura 5.13 é mostrado um printscreen da tela que
descreve as especificações do simulador de circuitos utilizado nesta tese.
Figura 5.13: Printscreen da tela mostrando as especificações do simulador de
circuitos em versão gratuita utilizado nas simulações neste trabalho.
Capítulo 5 - Simulações Computacionais
116
5.3 Schmitt trigger
Um disparador de Schmitt (em inglês, Schmitt trigger, ST) ou ainda
“Comparador com Histerese ideal” é o sistema de dois estados mais simples
disponível para a realização da ressonância estocástica. Uma solução exata é
encontrada
na
literatura
para
o
ST
conduzido
por
ruído
Gaussiano
exponencialmente correlacionado adicionado a um sinal periódico fraco. A relação
sinal-ruído alcança um ponto máximo em um valor específico da intensidade do
ruído (HARMER et al., 2002). O disparador de Schmitt é uma aplicação do
comparador de tensão que comuta a saída negativa quando a tensão de entrada
ultrapassa uma tensão de referência positiva. Seu funcionamento se dá pela
seguinte maneira: dado um nível de tensão na saída, +Vsat ou –Vsat (os valores
das tensões de saturação máxima positiva e negativa do AO, respectivamente), este
somente se altera quando a entrada assume valores superiores a V2 ou inferiores a
V2’, respectivamente, sendo +Vcc e -Vcc as tensões de limiar superior e inferior na
entrada não inversora do amplificador operacional. A equação (5.9) ilustra o
comportamento da saída Y(t) do Schmitt trigger em função da entrada x(t) = '._ :
’( ) = “ − 'R3 R
+'R3 R
( ) > '′ •
( ) < '
(5.9).
Se o AO utilizado for do tipo rail-to-rail, então Vsat = Vcc e -Vsat = -Vcc , ou
seja, a tensão presente na saída do amplificador operacional é igual à sua tensão de
fonte de alimentação. Pelo fato de ser uma característica de transferência com
análogos no eletromagnetismo, esta característica é chamada histerese, e pode ser
entendida melhor pela observação da Figura 5.14.
Figura 5.14: Esquema elétrico de um circuito Schmitt trigger genérico, construído a
partir de um amplificador operacional.
Capítulo 5 - Simulações Computacionais
117
As equações (5.10), (5.11) e (5.12) modelam o circuito mostrado na Figura
5.14:
)+,- L)e
e
V=
onde:
"
+
)tr– L)e
. V™š› +
˜de
˜e
=
˜de
˜
)e
d
(5.10)
. Vœœ
(5.11)
é o resultado da associação em paralelo dos resistores
".
,
A dependência da tensão de saída dá ao circuito da Figura 5.14 dois limiares.
O limiar '′ inferior é dado pela equação (5.12):
'′ =
Para o resistor
470KΩ.
=1
No
"
"
de
e
. '/0$ −
de
.'
(5.12)
foi escolhido um potenciômetro de valor máximo igual a
protótipo
escolhidos '/0$ = ' = 5',
foram
variando entre 1 (min)
470 ( á ), que
permite
=1 ,
calcular
os
seguintes valores de limiares para o Schmitt trigger:
Para
"
= 470 :
' = 2,50 e ' ′ = 2,49 volts,
que resulta em uma janela de histerese em torno de 10mV, quando o potenciômetro
de ajuste da janela de histerese é ajustado para seu valor máximo.
Com o potenciômetro
limiar:
"
no seu valor mínimo, tem-se os seguintes valores de
' = 3,33
e
'′ = 0
volts,
resultando em uma janela de histerese em torno de 3,33V.
A amplitude do ruído Gaussiano aplicado a esta mesma entrada (que será
misturado com o sinal a ser lido) pode ser ajustada digitalmente em 32 níveis
distintos, através do uso de um potenciômetro digital controlado por computador,
modelo X9313WP (XICOR, 2019) fornecido pelos fabricantes Xicor e Renesas,
numa faixa que vai de 0 até 1'¨¨ pois deseja-se que este gerador de ruído possa ser
útil também para excitar diretamente a Matriz de Microeletrodos (MEA), onde se
pretende estudar efeitos de ressonância estocástica baseados no fato de que os
neurônios são células que exibem naturalmente a não-linearidade necessária para
Capítulo 5 - Simulações Computacionais
118
RE se manifestar. Na Figura 5.15 é mostrado o diagrama final do Schmitt trigger que
foi implementado no protótipo, juntamente com o potenciômetro digital representado
pelo circuito integrado modelo X9313. Este circuito integrado será empregado para
permitir o controle do nível de ruído através do uso de um computador PC, que se
conecta ao módulo REAC através da porta serial. Uma descrição resumida do
funcionamento deste potenciômetro digital é feita a seguir
Figura 5.15: Esquema elétrico adotado no protótipo. Observar o uso de um
potenciômetro digital modelo X9313 do fabricante Xicor, o qual permite atenuar ou
ampliar a amplitude do ruído branco Gaussiano aplicado à entrada do Schmitt
trigger, para fins de controle do efeito de RE através de um computador PC.
O circuito integrado Xicor X9313 (IC2 na Figura 5.15), como já foi
mencionado, é um potenciômetro digital que possui uma interface de controle de 3
fios. A Figura 5.16 mostra o diagrama interno deste circuito integrado, já antigo no
mercado de componentes eletrônicos, porém bastante robusto e confiável para
efetuar controles de baixos níveis de tensão como os requeridos neste protótipo.
Capítulo 5 - Simulações Computacionais
119
Figura 5.16: Diagrama interno do circuito integrado X9313.
Fonte: (XICOR, 2016).
Na Figura 5.16 pode-se ver em (A) um contador digital de 5 bits do tipo
UP/DOWN (crescente ou decrescente), em (B) uma memória não-volátil capaz de
armazenar os últimos 5 bits registrados e também um estágio decodificador de
endereços (C), que tem por função endereçar uma matriz que escolhe um dentre os
32 transistores de efeito de campo (FET) que se encontram nas saídas de 0 até 31.
Cada um destes transistores FET tem ligado ao seu terminal dreno um resistor de
igual valor. Quando um destes FETs é selecionado, o respectivo resistor é colocado
na saída do potenciômetro digital, simulando o exato funcionamento de um
potenciômetro mecânico, com 32 níveis diferentes de resistência elétrica, que
aparecem entre os terminais do potenciômetro, que são marcados com
na Figura 5.16.
O terminal
ª
©,
ª
e
«
correponde ao terminal central (cursor) de um
potenciômetro mecânico de 10kΩ. A interface de controle do potenciômetro digital,
Figura 5.16 (A) é ligada a um microcontrolador, que enviará os sinais para aumentar
ou diminuir a resistência deste potenciômetro. Por sua vez, este microcontrolador é
ligado ao computador PC através da porta USB. Assim, o equipamento REAC será
capaz de controlar via software o nível de ruído e o nível de sinal misturados, com a
finalidade de pesquisar o ponto onde ocorre amplificação por RE. Quando o pino CS
(chip select) se encontra em nível lógico baixo, é possível alterar o valor da
resistência elétrica de saída do potenciômetro, por meio do valor dos 5 bits
armazenados na interface de controle (A); no momento em que o pino CS é
colocado em nível lógico alto, o chip é desativado mas o ultimo valor aplicado à
interface (A) permanece na memória representada pelo estágio (B). Isso significa
Capítulo 5 - Simulações Computacionais
120
que o último valor de resistência escolhido para o potenciômetro permanecerá
memorizado mesmo quando a tensão de alimentação do sistema for desligada: ao
religar a fonte, o potenciômetro retorna para o último valor de resistência
selecionado. Observa-se que o modelo SPICE do componente X9313 não existe na
biblioteca de componentes de simulação do MULTISIM, desta forma não foi possível
simular o circuito com o controle digital de nível de sinal e de ruído. Entretanto, um
protótipo físico foi montado e será descrito no Capítulo 6.
5.3.1 Circuito 1: Simulação para Schmitt trigger clássico
Figura5.17: Simulação para o circuito Schmitt trigger clássico. Em (A) é mostrado o
circuito simulado e em (B) o resultado das simulações. O sinal mostrado em (C) é o
sinal senoidal aplicado à entrada do circuito e o sinal mostrado em (D) é o resultado
do sinal não-linear produzido na saída do ST.
Capítulo 5 - Simulações Computacionais
121
Como neste caso o sinal senoidal (C) é aplicado na entrada inversora do AO,
o sinal (D) presente na saída do mesmo AO tem fase invertida em 180 graus em
relação ao sinal na sua entrada, como esperado.
5.3.2 Circuito 2: Simulação para amplificador não-linear com curva de
transferência no formato “N”.
Figura 5.18: Simulação para o AO não-linear com curva “N”. Em (A) é mostrado o
circuito simulado e em (B) o resultado das simulações. O sinal mostrado em (C) é o
sinal senoidal aplicado à entrada do circuito e o sinal mostrado em (D) é o resultado
do sinal não-linear produzido na saída do circuito N.
Observar que neste caso, o sinal mostrado na Figura 5.18(D) presente na
saída é relativamente arredondado, quando comparado com os resultados da
simulação mostradas na Figura 5.17(D). Aqui também existe inversão de fase: o
sinal presente na saída (D) do circuito se encontra defasado de 180 graus em
Capítulo 5 - Simulações Computacionais
122
relação ao sinal (C) aplicado na entrada. Neste caso, a não-linearidade é menos
pronunciada do que no caso de se utilizar o Schmitt trigger clássico, característica
desejável para o ressonador estocástico.
5.3.3 Circuito 3: Simulação para o amplificador não-linear com curva de
transferência no formato “S”
Figura 5.19: Simulação para o AO não-linear com curva de formato “S”. Em (A) é
mostrado o circuito simulado e em (B) o resultado das simulações. O sinal mostrado
em (C) é o sinal senoidal aplicado à entrada do circuito e o sinal mostrado em (D) é o
resultado do sinal não-linear produzido na saída do circuito S.
Observar na Figura 5.19 que o sinal presente na saída (D) do circuito
apresenta também um comportamento não-linear em relação à senóide aplicada na
entrada (C), mas neste caso não existe inversão de fase: o sinal presente em (D) se
Capítulo 5 - Simulações Computacionais
123
encontra em fase com a senóide aplicada na entrada (C). Estas duas características
juntas (não inversão de fase e não-linearidade) são desejáveis para o ressonador
estocástico. A não-linearidade não é tão pronunciada quanto no ST clássico, o que
se traduz por níveis de tensão distintos na saída (D), (GAO Y., 2013).
Como sinal de entrada para todas estas simulações, foi utilizado um sinal
senoidal de amplitude 0,1 Vrms e frequência igual a 100Hz. Este sinal foi também
variado em amplitude, no intervalo entre 0,01V até 1 Vrms e em frequência, no
intervalo entre 10Hz até 1kHz, mas nenhuma mudança significativa foi observada no
formato da onda produzida na saída dos circuitos. Desta forma, optou-se por mostrar
aqui apenas uma amostra para cada simulação, pois os resultados permaneceram
estáveis na faixa de frequências e amplitudes estudadas.
5.4 Circuito Gerador de Ruído Branco
Na entrada dos circuitos não-lineares mostrados nas Figuras 5.17, 5.18 e
5.19, é necessário aplicar um certo nível (controlado) de ruído branco Gaussiano
para que ocorra ressonância estocástica, que pode ser obtido a partir da agitação
térmica dos elétrons na junção semicondutora de um diodo ou transístor polarizado
de modo reverso. Na Figura 5.20 é mostrado o circuito do gerador de ruído branco
que foi primeiramente simulado e depois implementado na prática.
Figura 5.20: Diagrama esquemático referente à simulação do circuito que produz o
ruído branco. Observar o transístor Q1 à esquerda na Figura, que é ligado como um
diodo, tendo seu terminal coletor desligado.
Capítulo 5 - Simulações Computacionais
124
A idéia deste circuito é aproveitar o efeito “avalanche” da junção semicondutora base-emissor do transístor Q1 mostrado na Figura 5.20 para produzir
ruído branco Gaussiano (o efeito Gaussiano é dado pelos filtros RC, que filtram em
torno de uma frequência central). O transístor Q1 está polarizado de modo reverso:
seu terminal emissor, ligado ao positivo de uma fonte de tensão de 9V através do
resistor de 680KΩ, provoca a movimentação de elétrons no interior da barreira NP
do semicondutor, que se chocam com as moléculas do silício de maneira caótica,
gerando um sinal que tem todas as características descritas através da equação de
Langevin do movimento Browniano.
O resultado da simulação do circuito mostrado na Figura 5.20 é mostrado na
Figura 5.21. A amplitude do ruído branco produzido pode ser ajustada através de um
potenciômetro durante a simulação.
Figura 5.21: Resultado da simulação computacional do circuito mostrado na Figura
5.18. A saída produz ruído branco Gaussiano, cuja frequência central é determinada
pelos valores dos resistores e capacitores escolhidos para os filtros RC no circuito.
5.5 Circuito Detector de Envoltória
Na Figura 5.22 é mostrado o subsistema Detector de Envoltória, que é na
verdade um demodulador de amplitude e frequência (AM e FM). Este circuito
analógico é baseado também no uso de dois transistores NPN de silício que
funcionam como amplificadores de sinal. O demodulador AM (amplitude) mais
simples é conhecido como detector de envoltória. A detecção de envoltória consiste
em passar o sinal modulado
( ) por um dispositivo não-linear, seguido de uma
filtragem passa-baixa para eliminar as altas frequências. A não-linearidade pode ser
Capítulo 5 - Simulações Computacionais
125
produzida por diodo, enquanto que o filtro pode ser construído utilizando um resistor
e um capacitor, como ilustrado na Figura 5.23.
Figura 5.22: Circuito detector de envoltória.
Figura 5.23: Circuito demodulador de amplitude.
Supor inicialmente que o circuito da Figura 5.23 não contenha o capacitor C e
a resistência do diodo seja desprezível quando comparada com R. Desta forma, o
circuito se comporta como um retificador de meia onda. Colocando-se o capacitor C
em paralelo com o resistor R, ele irá se carregar durante o semiciclo positivo da
portadora e se descarregar no intervalo entre os picos positivos, segundo uma
constante de tempo RC, conforme mostrado na Figura 5.24.
Capítulo 5 - Simulações Computacionais
126
Figura 5.24: Saída do demodulador de amplitude.
A escolha do valor de RC é de fundamental importância no demodulador de
amplitude. Seja W a maior variação em amplitude do sinal modulador.
$¬
Caso o valor de RC seja muito menor que o período da portadora ( ), o
capacitor se descarregará muito rapidamente quando a amplitude da portadora cai
abaixo do seu valor de pico, o que fará com que a saída do detector não siga a
envoltória desta onda (Figura 5.25-a). Se, por outro lado, o valor de RC for muito
maior que a máxima variação W do sinal modulador, então o capacitor se
descarregará muito lentamente e a saída do demodulador não seguirá a envoltória
da onda AM (Figura 5.25-b).
Figura 5.25: a) Descarga do capacitor C quando RC << 1/f ;
b) Descarga de C quando RC << 1/W.
O circuito demodulador simulado e mostrado na Figura 5.22 serve também
como elemento de não-linearidade para o ressonador estocástico REAC, além do
usual Schmitt trigger. Para verificar o comportamento deste circuito da Figura 5.22
quando excitado por diferentes formas de ondas e diferentes frequências em sua
entrada, foram feitas várias simulações computacionais, de modo a entender o
comportamento deste circuito, com diferentes sinais em sua entrada.
Capítulo 5 - Simulações Computacionais
127
(A)
(B)
Figura 5.26: Resposta ao degrau para o circuito simulado mostrado na Figura
5.22.Em (A) é reprsentado o pulso degrau e em (B) a resposta do circuito a este
pulso. Esta resposta é característica de um circuito de primeira ordem.
Na Figura 5.26 é mostrada a resposta ao degrau para o circuito da Figura
5.22. Em 5.26-(A) é mostrada a onda quadrada (que simula um degrau unitário)
aplicada à entrada do circuito da Figura 5.22 e na Figura 5.26(B) é mostrado o sinal
que surge na saída deste circuito. O gráfico da Figura 5.26 mostra uma resposta ao
degrau típica de um sistema de primeira ordem, contendo um único elemento
armazenador de energia, que neste caso é um capacitor.
Na Figura 5.27 é mostrada a resposta do circuito da Figura 5.22 quando é
aplicado um sinal senoidal em sua entrada, de frequência igual a 1000Hz.
Capítulo 5 - Simulações Computacionais
128
Figura 5.27: Resposta à um sinal dente-de-serra de frequência 1kHz para o circuito
simulado mostrado na Figura 5.22.
A finalidade do detector de envoltória é ajudar a extrair informações emitidas
pelos neurônios, pois o mesmo é sensível aos spikes e bursts, podendo realçar
estes dois fenômenos importantes em meio ao sinal ruidoso biológico. Sua utilização
no subsistema REAC é opcional, sendo acionado ou desativado pelo usuário através
de uma chave, dependendo do sinal pesquisado.
5.6 Considerações Finais
Neste Capítulo foram apresentados alguns resultados das simulações
computacionais utilizando o software MATLAB e também os resultados de algumas
simulações dos circuitos eletrônicos que serão implementados e descritos no
Capitulo 6, para compor o subsistema denominado REAC. Estas simulações
demonstram a validade matemática do fenômeno RE também do ponto de vista das
equações, partindo de um modelo simples para o fenômeno, descrito através da
equação de Langevin para o movimento Browniano.
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
129
Capítulo 6
DESENVOLVIMENTO DO SUBSISTEMA
RESSONADOR ESTOCÁSTICO - REAC
CAPÍTULO 6 -
Neste Capítulo são descritas as simulações, desenvolvimento e testes de um equipamento
digital para amplificar sinais através do fenômeno RE, controlado por uma interface executada em
computador PC. O módulo REAC (Ressonador Estocástico Auxiliado por Computador) integra um
sistema maior destinado a captar e registrar sinais em MEA, chamado de Sistema de Aquisição de
Sinais em MEA Assistido por Ressonância Estocástica (SASMARE). Embora desenvolvido para uso
em conjunto com o restante do sistema SASMARE, o subsistema REAC pode ser utilizado também
individualmente, para estudo do fenômeno RE. O desenvolvimento utilizou componentes eletrônicos
comuns no mercado brasileiro. Várias considerações teóricas são feitas também neste Capítulo, com
a finalidade de justificar o emprego das fórmulas utilizadas pelo Software que foi desenvolvido para
controle do subsistema REAC.
6.1 Valor RMS de um sinal
A primeira exigência do dispositivo REAC é poder medir a amplitude e
calcular o valor RMS (Root Mean Square, Valor Quadrático Médio em português) do
ruído Gaussiano e também do sinal que se apresenta em sua entrada. A equação
(6.1) refere-se ao valor RMS para um sinal periódico qualquer de período T:
'
-®
= ¯7I
e
G
G
'( ) 9
onde: V(t) representa a amplitude instantânea do sinal, em volts;
T
representa o período para um sinal periódico.
(6.1)
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
130
Caso o sinal a ser analisado seja do tipo aperiódico (como por exemplo o
ruído Gaussiano, ou então, os sinais produzidos por neurônios cultivados em MEA)
então será preciso calcular continuamente o valor da integral mostrada na equação
(6.1), em iguais intervalos de tempo T. No caso de amostras discretas de tensão,
como ocorre em sistemas digitais como este em desenvolvimento, a integral deve
ser vista como a soma de pequenas n parcelas, e a equação (6.1) se torna a (6.2):
'
G/_
= ¯∑.C '. ∆
e
-®
.
(6.2)
Embora não exista neste caso um período propriamente definido, T passa a
ser chamado de “janela de amostragem”. A todo instante, um microcontrolador ou
um DSP dever medir através de sua entrada analógica os valores do sinal e do ruído
e somar “n” amplitudes '. ao quadrado dentro de um conjunto de amostras contidos
em um tempo T, convenientemente escolhido de acordo com a faixa de frequências
esperada para o sinal de amplitude '. que ocorre em um intervalo de tempo ∆
.
considerado. A equação (6.2) representa o modo de se calcular o valor RMS de um
sinal digitalizado discreto dentro de um intervalo T e foi utilizada no Software REAC.
6.2 Cálculo da Relação Sinal-Ruído
Uma vez que tanto o ruído (sozinho) e o sinal+ruído (somados) tenham sido
digitalizados pelo conversor A/D, eles terão continuamente seu valor RMS medido e
calculado através da equação (6.2). O valor da relação Sinal-Ruído então será
calculado continuamente pelo microprocessador através da equação (6.3):
Jl = u
)f._;° e
)/±.S^e
w
(6.3).
Na equação (6.3), o termo 'f._;° na verdade representa o valor RMS de
amplitude (em milivolts) da soma “sinal+ruído”. Nesta mesma equação, o termo no
denominador
'/±.S^ representa o valor RMS do ruído gerado pelo aparelho, de
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
modo controlado, sozinho.
131
Na equação (6.3) os termos no numerador e no
denominador aparecem elevados ao quadrado porque na realidade, conforme
explicado no Capítulo 4, a relação sinal/ruído é um quociente entre as potências do
sinal e do ruído. Como ambas as potências estão aplicadas sobre o mesmo circuito,
elas estão sujeitas à mesma impedância e tais potências são proporcionais ao
quadrado de sua amplitude (tensão). As impedâncias se cancelam (pois são iguais)
e a equação (4.1) se torna a equação (6.3).
O quociente destas tensões ao quadrado permite calcular o valor de SNR,
que é um parâmetro importante para se detectar a ocorrência do fenômeno RE e
avaliar a performance do sistema de amplificação. O resultado fornecido pela
equação (6.3) é adimensional.
A mesma relação SNR, mas em decibéis, pode ser calculada pela equação
(6.4):
Jl (95) = 20 {Q| I ²
³
)/±.S^
)f._;°
(6.4).
Calculando constantemente o valor de SNR, o equipamento pode ajustar
automaticamente a amplitude de ruído produzido pelo gerador de ruído branco, de
modo a obter sempre o maior valor de SNR, mantendo sempre o ponto de
ressonância estocástica. É a primeira vez que se propõe na literatura um
equipamento capaz de encontrar automaticamente o ponto de sintonia estocástica
baseado em medidas digitais de valores RMS e de SNR do sinal e do ruído em
tempo real, através de microcontrolador.
A seguir serão discutidas brevemente outras medidas de performance para
avaliar o desempenho da RE para a detecção de sinais muitos fracos.
6.3 Informação mútua
Informação Mútua e Entropia da Informação são conceitos pertinentes a uma
ramo da Ciência da Computação denominado Teoria da Informação.
O primeiro artigo a discutir a RE no contexto de teoria da informação foi
DeWeese e Bialck (DEWEESE et al., 1995, 1996). Nestes artigos, os autores
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
132
consideraram que o objetivo do processamento do sinal de um neurônio é transmitir
tanta informação quanto possível dentre aquela presente na sua entrada. A medida
de performance utilizada para isso é a Informação Mútua (COVER e THOMAS,
1991). Seja I(s,x) a medida da Informação Mútua entre dois sinais s(t) e x(t) como os
mostrados na Figura 6.1.
Um caso especial de Informação Mútua é chamado de Capacidade do Canal
(COVER e THOMAS, 1991). Capacidade do Canal é definida como sendo a máxima
possibilidade de Informação Mútua através de um canal ou sistema. Esta grandeza é
em geral definida em termos da distribuição de probabilidade da entrada que
proporciona o máximo de Informação Mútua, sujeita a certas restrições
(MCDONNELL et al., 2008). Por exemplo: um sinal de entrada pode ser restrito a
dois estados (sinal binário) como ocorre na saída dos circuitos Schmitt triggers
empregados na parte prática deste trabalho. Ou então o sinal pode ser
continuamente medido através de uma variável aleatória, mas com uma densidade
espectral específica. A fórmula mais conhecida para descrever a Capacidade do
Canal é a equação de Shannon-Hartley (6.5), (MCDONNELL et al., 2008):
onde:
C = 0,5 . {Q| (1 + Jl )
bits por amostra
(6.5)
C é a capacidade do canal em bits por segundo;
0,5 é a largura de banda do canal, em hertz (largura da banda passante no
caso de um filtro de banda passante do sinal);
SNR é o valor da relação sinal/ruído expresso de modo adimensional (não em
decibéis).
O valor 0,5 Hz é frequentemente utilizado para a largura de banda do canal
na literatura sobre ressonância estocástica (McDONNEL, 2018). A equação de
Shannon-Hartley (6.5) nos dá a Capacidade do Canal para a transmissão de um
sinal limitado em potência e limitado em banda através de um canal com ruído
branco Gaussiano. Como menciona Berger e Gibson (BERGER e GIBSON, 1998),
esta fórmula é frequentemente empregada em situações onde ela não se aplica, na
literatura sobre RE. A Capacidade do Canal como uma medida da performance da
ressonância estocástica é discutida em (CHAPEAU-BLONDEAU, 1997; GODIVIER e
CHAPEAU-BLONDEAU,1998; GOYCHUK e HANGGI, 1999; KISH et al., 2001;
GOYCHUK ,2001; BOWEN e MANCINI 2004). Todos estes artigos mostram que o
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
133
nível correto de ruído pode proporcionar a máxima Capacidade do Canal, isto é, leva
ao fenômeno de ressonância estocástica. Esta fórmula (6.5) se aplica somente para
canais com a presença de ruído branco Gaussiano aditivo, onde o sinal é
independente do ruído (BERGER E GIBSON, 1998). A equação (6.5) é interessante
porque relaciona o SNR com a Capacidade do Canal. Considerar a Figura 6.1, que
mostra o diagrama em blocos de um sistema não linear sujeito a ruído Gaussiano
aditivo de amplitude ƞ(t). A soma do sinal+ruído, s(t) + ƞ(t), é posteriormente
aplicada a um sistema não-linear cuja função de transferência é T[.], dada por y(t) =
T[x(t)].
Figura 6.1: Diagrama em blocos de um sistema não-linear sujeito a ruído Gaussiano
aditivo. Fonte: (MCDONELL, 2018).
Esta equação nos mostra que a máxima Informação Mútua entre um sinal s(t)
e outro sinal x(t) é I(s,x) = 0,5 . {Q| (1 + Jl ) bits por amostra. Na Figura 6.1 o
bloco que representa a função T[.] correspondente aos circuitos eletrônicos Schmitt
trigger, que são os elementos de circuito responsáveis por adicionar a nãolinearidade ao dispositivo REAC.
6.4 Entropia da Informação
Outra medida útil utilizada em Teoria da Informação é a Entropia da
Informação. Ela é útil quando se considera o uso da RE para quantização dos sinais
nos conversores AD. Em outras palavras, uma aplicação possível dos sistemas
biestáveis (tais como os Schmitt triggers) baseados em limiares (threshold, em
inglês) é na quantização e digitalização de sinais analógicos, transformando-os em
sinais digitais. São os chamados conversores AD estocásticos (MCDONNELL et al.,
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
134
2008). É possível construir conversores AD muito rápidos baseados em diversos
Schmitt triggers com diversos limiares de disparo diferentes em suas saídas.
Entretanto, esta é uma técnica pouco utilizada em função da complexidade dos
circuitos envolvidos (um Schmitt trigger dispara para qualquer valor de tensão acima
do seu limiar). Um quantizador de 8 bits baseado em Schmitt triggers deveria
envolver 2´ Schmitt triggers (ST). Mas além dos 256 Schmitt triggers com limiares
diferentes, este quantizador também precisa de um circuito adicional denominado
em inglês “encoding” (codificador) que tem por função transformar a saída dos
Schmitt triggers em um sinal digital correspondente ao sinal analógico aplicado em
sua entrada.
Apesar de possuir uma implementação complexa, a quantização
baseada em ST também pode se beneficiar do fenômeno RE.
Uma importante medida para um quantizador é a sua taxa. A taxa é uma
medida de quantos bits (ou seja, quantos símbolos binários) são necessários para
representar a informação. Isso significa que a taxa de um quantizador é geralmente
o número médio de bits por amostra que o quantizador apresenta em sua saída, ou
que recebe em sua entrada. Para codificação determinística do sinal, a taxa é igual a
Entropia média da saída codificada. Esta Entropia pode ser calculada pela equação
(6.6):
µ(’) = − ∑¶
.CI 1(F) {Q| 1(F)
(6.6)
onde: P(i) é a probabilidade do estado de saída “i” ocorrer.
A máxima Entropia ocorre quando todos os estados de saída são igualmente
prováveis e é dada por H(i) = {Q| (l + 1) onde N é o número de bits de saída do
quantizador.
Como o equipamento REAC não faz uso de quantizador baseado em ST, o
conceito de Entropia descrito pela equação (6.6) não será empregado neste projeto,
sendo aqui citado somente para conhecimento.
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
135
6.5 Diagrama de blocos do Sistema de Aquisição e Registro de
Sinais em MEA com Ressonância Estocástica (SASMARE)
A Figura 6.2 mostra o diagrama de blocos do sistema de aquisição e registro
de sinais em MEA desenvolvido e descrito neste trabalho, acrescentando-se o
subsistema REAC. O sistema completo foi denominado Sistema de Aquisição de
Sinais em MEA Assistido por Ressonância Estocástica (SASMARE). Basicamente, o
circuito convencional de amplificação descrito no Capítulo 3 desta tese foi utilizado,
acrescido do circuito de detecção com Ressonância Estocástica denominado REAC.
Os blocos (1)-“MEA”, (2)-“Soquete MEA60 Padrão” e (3) “Sistema de multiplexação
analógica de 60 canais” já foram descritos detalhadamente no Capítulo 3 deste
trabalho.
Figura 6.2: Diagrama de blocos do SASMARE, Sistema de Aquisição de Sinais em
MEA Assistido por Ressonância Estocástica, com ênfase no subsistema REAC,
descrito neste Capítulo.
O bloco (4), Circuito de amplificação com ressonância estocástica, é o
assunto do presente Capítulo, que descreve a construção do REAC. O bloco (5),
Sistema de Conversão Analógico/Digital (AD) foi detalhado também no Capítulo 3
juntamente com o Software de aquisição de dados que é executado no computador.
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
136
6.6 Diagrama de blocos do REAC
Os diversos estágios de circuitos eletrônicos que compõe o equipamento
denominado REAC são mostrados na Figura 6.3. O sinal proveniente da MEA é
aplicado à “Entrada do Sinal” em um circuito isolador denominado Buffer1, com a
finalidade de evitar a interação e a incompatibilidade elétrica com o bloco anterior. A
seguir, o sinal pode ser dosado através do ajuste do potenciômetro digital 2 (CH1 no
painel da interface gráfica do Software REAC) que é do tipo X9313 cujo
funcionamento já foi explicado no Capítulo 5. Um circuito eletrônico gerador de ruído
branco Gaussiano produz ruído branco cuja amplitude (valor RMS) pode ser
ajustado através do potenciômetro digital 1 (marcado como “White Noise” no painel
da interface gráfica) entre 0 e 3000 mV (rms). Ambos os sinais, o sinal elétrico dos
neurônios e também o ruído branco são aplicados a um estágio misturador de sinais,
que tem por função somá-los, sem alteração de fase. À seguir, este sinal que
corresponde à soma sinal+ruído branco é aplicado à entrada de um circuito Schmitt
trigger, que foi descrito e simulado no Capítulo 5 deste trabalho. A saída do sinal do
Schmitt trigger é aplicada ao potenciômetro digital 3, que permite dosar a amplitude
do sinal que já incorpora o fenômeno de RE. À seguir, este sinal de saída do ST é
novamente misturado ao ruído branco Gaussiano, com uma nova amplitude,
ajustada pelo potenciômetro digital 4 (que corresponde ao slider (potenciômetro)
marcado como CH3 no painel da interface gráfica do Software REAC).
Eventualmente, este potenciômetro slider pode ter seu nível ajustado para zero,
caso não seja conveniente adicionar mais ruído à saída. Novamente, ambos os
sinais, são aplicados a outro estágio misturador, denominado “Misturador de Saída”.
Na saída deste segundo misturador, foi projetado um filtro detector de envoltória cuja
finalidade é extrair componentes de modulação em amplitude ou em frequência do
sinal (AM ou FM), conforme foi também descrito no Capítulo 5. Não se sabe a priori
se existe tal modulação em amplitude ou frequência (este fato é que caracteriza a
pesquisa científica) mas tal demodulador foi acrescentado ao circuito, e pode ser
desligado ou ligado quando o usuário desejar, através de uma chave mecânica no
painel do equipamento REAC. Todo o sinal+ruído resultante na saída é aplicado ao
conversor AD interno ao microcontrolador do módulo REAC. O sinal é então
quantizado e digitalizado dentro deste microcontrolador, que o entrega através da
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
137
porta USB para o computador PC. O Software de controle REAC recebe então este
sinal e imprime na tela na forma de um gráfico na sua janela. Ao mesmo tempo, ao
receber o sinal digitalizado, o computador PC calcula a relação Sinal/Ruído (SNR)
utilizando a equação (6.3) e também a mesma relação SNR em decibéis. Ambos os
valores de SNR e SNR(dB) são mostrados na tela do computador PC em tempo real,
levando-se em conta sempre a média artimética simples das últimas 128 amostras
digitalizadas pelo conversor AD.
A Figura 6.4 mostra o aspecto da interface gráfica do Software de controle
REAC que efetua o controle dos quatro potenciômetros digitais, recebe o sinal e o
ruído misturados e digitalizados pelo conversor A/D interno ao microcontrolador e
imprime seu gráfico na área (A) e também calcula e mostra em tempo real os valores
de SNR na janela (B) e de SNR(dB) na janela (C).
Figura 6.3: Diagrama de blocos representando os circuitos eletrônicos que compõe o
subsistema REAC.
Cada um dos circuitos ilustrados em bloco no diagrama da Figura 6.3 foi
simulado separadamente, prototipado em protoboard e montado individualmente em
placa de circuito impresso específica pelo autor. O microcontrolador utilizado efetua
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
138
leituras com um sample rate igual a 10ksps (10000 amostras por segundo), podendo
teoricamente, de acordo com o Limite de Nyquist, amostrar sinais de frequência de
até 5 kHz.
Cada potenciômetro digital permite ser ajustado em 32 níveis diferentes
de resistência, com valores digitais que vão de 0 até 31. Do lado direito da interface,
marcado com (D) na Figura 6.4, existem 4 janelas que permitem ajustar os valores
das seguintes constantes: KWN (constante do White Noise), KCH1, KCH2 e KCH2.
Estas constantes ajustam o fator de escala de cada potenciômetro, de modo a fazer
com que o valor mostrado na tela da interface gráfica coincida com o valor RMS de
tensão gerado na saída de cada estágio. Estas 4 constantes têm seus valores
ajustados através do uso do osciloscópio usando-se o seguinte procedimento: 1mede-se o valor RMS do ruído branco gerado quando o potenciômetro é colocado
no nível 16 (meio da escala); este valor (dado em milivolts) é dividido por 16 para
produzir o valor correto da constante KWN; a constante KWN é ajustada então com
este valor. 2- À seguir, o potenciômetro é variado e o valor mostrado na janela (E) é
conferido com o valor da amplitude de tensão RMS mostrada pelo osciloscópio
digital Tektronik modelo TBS1102B, fazendo assim uma aferição dos valores
mostrados na janela (E) da Figura 6.4. O mesmo procedimento é repetido também
para os outros três potenciômetros CH1, CH2 e CH3, aferindo-se seus valores RMS
com o osciloscópio no circuito eletrônico.
Figura 6.4: Aspecto da interface gráfica do Software de controle do equipamento
REAC.
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
139
A Figura 6.5 mostra o diagrama eletrônico do circuito buffer, estágio de
entrada do REAC, marcado como “Buffer1” no diagrama da Figura 6.3.
Figura 6.5: Circuito buffer, com amplificador operacional.
Na Figura 6.6 é mostrado o diagrama eletrônico do circuito misturador de
sinais, que utiliza potenciômetros digitais em suas entradas, comandados pelo
microcontrolador. E este, por sua vez, é comandado pelo software da interface
gráfica do REAC. Este circuito corresponde ao bloco “Misturador” na Figura 6.3.
Figura 6.6: Circuito misturador, baseado em três transistores NPN de uso geral.
Observar o uso de potenciômetros digitais IC1 e IC2 em suas entradas.
Na Figura 6.7 é mostrado o diagrama esquemático do módulo Gerador de
Ruído branco Gaussiano.
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
140
Figura 6.7: Diagrama esquemático do circuito Gerador de Ruído Branco.
Na Figura 6.8 é mostrado o diagrama do detector de envoltória escolhido para
compor o módulo REAC.
Figura 6.8: Diagrama esquemático do circuito demodulador de amplitude e
frequência. A finalidade deste circuito, que é acionado opcionalmente através do
botão “Hw On” e “Hw Off” na interface gráfica do REAC, é extrair de modo analógico
informações codificadas em amplitude (AM) ou em frequência (FM) que
eventualmente estejam contidas nos sinais dos neurônios.
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
141
Como microcontrolador para controlar os sinais enviados aos potenciômetros
digitais e também para digitalizar uma amostra dos sinais de saída e enviar para a
interface gráfica, foi escolhido o módulo Launchpad TIVA fabricado e fornecido por
Texas Instruments, cuja foto é mostrada na Figura 6.9. Esta placa é baseada no
microcontrolador modelo TM4C123G fabricado por Texas Instruments. Trata-se de
um microcontrolador de arquitetura ARM Cortex M4, de barramento de 32 bits, com
um ótimo conversor AD interno, com frequência de amostragem de até 1 Msps (até 1
milhão de amostras por segundo). Este conversor A/D é muito importante neste
módulo, pois ele deve digitalizar o sinal final obtido na saída do REAC e calcular o
valor RMS de suas amostras, bem como digitalizar o ruído branco e também
calcular, em tempo real, seu valor RMS. Ambos os valores RMS são enviados a
cada 1 segundo para o Software REAC que é executado no computador PC, para
poder calcular e mostrar na tela o valor de SNR e de SNR(db) do sinal adquirido em
tempo real.
Figura 6.9: : Foto placa de circuito impresso Tiva Launchpad contendo o
microcontrolador TM4C123G fabricada por Texas Instruments, utilizada para
controlar os potenciômetros digitais do módulo REAC e também para transformar os
sinais analógicos em sinais digitais, somente para a interface gráfica poder calcular
os valores de SNR.
Para programar o microcontrolador TM4C123G da placa Tiva Launchpad foi
utilizado o compilador integrado à IDE chamada ENERGIA (ENERGIA, 2019), que
permite escrever código-fonte na linguagem C-Wiring, semelhante à programação
dos módulos Arduino. A Figura 6.10 mostra uma imagem da tela inicial do Editor de
código da IDE Energia.
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
142
Figura 6.10: Aspecto da IDE do software Energia, utilizado para programar o
microcontrolador TM4C123G contido na placa Tiva Launchpad, fornecida por Texas
Instruments.
A Figura 6.11 mostra um exemplo da Interface gráfica do REAC em operação:
um sinal gerado pelo modulo MEASim é aplicado à entrada de sinais do REAC,
misturado ao ruído branco de intensidade 700mV (ajustável através do primeiro
potenciômetro digital, mostrado na Figura6.4(E) ). Pode-se observar pela Figura 6.11
que no momento em que esta tela foi capturada, o valor de SNR era igual a 1371,7
(Figura 6.11-B) e o seu respectivo valor SNR(dB) era igual a 62,7 decibéis (Figura
6.11-C). Na janela inferior da Figura 6.11(A) pode-se ver o componente gráfico
Tchart da linguagem visual Delphi, imprimindo o gráfico do sinal resultante na saída
do módulo REAC, com uma taxa de amostragem (ajustável) igual a 1kHz neste caso
(mas pode ser aumentada até o limite de 1 MHz, caso haja necessidade, através do
Software). Tal interface gráfica, conforme já foi mencionado, foi desenvolvida em
linguagem Delphi versão 10.3.1. O potenciômetro “White Noise” ajustou a amplitude
RMS do ruído branco Gaussiano para 700mV e o potenciômetro CH1 ajustou a
amplitude RMS do sinal resultante na saída do sistema para o valor de 1300 mV
(RMS).
No caso dos testes que geraram a tela mostrada na Figura 6.11, os
potenciômetros CH2 e CH3 não estão sendo usados. No uso normal deste
subsistema, os potenciômetros devem ser ajustados para o ponto que permite o
maior valor de SNR, que também corresponde ao ponto onde ocorre RE.
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
143
Figura 6.11: Aspecto da Interface gráfica do módulo REAC em funcionamento.
Na Figura 6.12 à esquerda é mostrado o lay-out da placa de circuito impresso
que foi desenhada para a montagem do protótipo do subsistema REAC na Figura 6.12 à
direita é mostrada a disposição dos componentes eletrônicos sobre esta placa. O aspecto
físico desta mesma placa é mostrado na Figura 6.13.
Figura 6.12: Layout da placa de circuito impresso do subsistema REAC.
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
Figura 6.13: Placa de circuito impresso do subsistema REAC.
Na Figura 6.14 é mostrado o diagrama eletrônico completo do módulo
REAC, que foi implementado na placa mostrada na Figura 6.13. A descrição
de cada um dos blocos que compôes o REAC já foi feita no Capítulo 5.
144
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
Figura 6.14: Diagrama elétrico completo do subsistema REAC.
145
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
146
6.7 Sistema de Blindagem Eletromagnética
Para reduzir a captação de ruídos induzidos por campos eletromagnéticos
externos, uma blindagem especial, denominada "Gaiola de Faraday", foi projetada e
construída juntamente com este trabalho.
Esta Gaiola de Faraday envolve o
soquete e a cultura com a MEA para evitar a captação de ruídos eletromagnéticos. A
Figura 6.15 ilustra um esboço para esta blindagem. Na Figura 6.15, a estrutura
esboçada é construída em material metálico (alumínio) e toda esta estrutura é ligada
a um bom ponto de aterramento elétrico no solo. Uma haste de aterramento,
semelhante a aquelas utilizadas em equipamentos de pára-raios, deverá ser
instalada nos laboratórios que fizerem uso desta Gaiola.
Figura 6.15: Esboço de uma blindagem do tipo "Gaiola de Faraday". O equipamento
deverá ser colocado no interior desta blindagem durante os experimentos com MEA.
Pela experiência do autor com o uso dos equipamentos fabricados por
Multichannel (MCS, 2015), durante visita à Universidade de Gênova (Itália) em
Novembro de 2016, o uso desta blindagem não pode ser desprezado. Sem ela, o
nível de ruído captado do ambiente externo torna-se muito alto, impossibilitando as
medidas das culturas em MEA. Pelas mesmas razões, o equipamento precisa ser
alimentado por baterias (e não pela rede elétrica). A rede elétrica no Brasil é do tipo
corrente alternada, com frequência igual a 60Hz (em alguns países, esta frequência
é de 50Hz) e o ruído de 60Hz induzido eletromagneticamente se propaga através do
ar, e seria captado pelos sensíveis circuitos amplificadores, impossibilitando os
experimentos.
Capítulo 6 - Desenvolvimento do Subsistema Ressonador Estocástico - REAC
147
6.8 Considerações Finais
O Capítulo 6 descreveu em detalhes o desenvolvimento e a construção do
módulo de ressonância estocástica, chamado de REAC. Mostrou também sua
integração ao sistema como um todo, o qual passa a se chamar SASMARE quando
incorpora o recurso de RE. Um Software que é executado no computador PC
também foi desenvolvido em linguagem Visual Delphi, e a interface gráfica de
controle é mostrada e explicada neste Capítulo. Este Software tem por função
controlar o módulo REAC e calcular o valor da SNR em tempo real.
O próximo Capítulo descreve os resultados experimentais dos testes
efetuados em ambos os sistemas que foram implementados: o sistema convencional
de registro de sinais em MEA e o sistema REAC, o qual incorpora ressonância
estocástica.
Capítulo 7 - Resultados Experimentais
148
Capítulo 7
CAPÍTULO 7 -
RESULTADOS EXPERIMENTAIS
Neste Capítulo são relatados os resultados dos experimentos efetuados no laboratório de
fisiologia da Universidade Federal de Uberlândia em Julho de 2019 e também alguns resultados
experimentais obtidos em laboratório de eletrônica do autor. Os resultados mostraram que os
equipamentos desenvolvidos são funcionais e atendem aos objetivos propostos, com vantagens para
o sistema que utiliza ressonância estocástica, quando aplicado em sinais de pequena intensidade
provenientes de MEA.
7.1 Setup experimental
Para validar o equipamento completo que foi desenvolvido, vários
experimentos foram planejados na UFU (Universidade Federal de Uberlândia), sob a
coordenação da bióloga profa. Dra. Celina Lotufo, do Departamento de Fisiologia no
Instituto de Ciências Biológicas, no dia 6 de Julho de 2019. Os experimentos
constaram do cultivo de 3 culturas in vitro de neurônios provenientes de gânglios de
raiz de espinha dorsal de ratos da espécie Wistar. A Figura 7.1 mostra o aspecto
das culturas de neurônios efetuadas em matrizes de microeletrodos (MEA60)
fabricadas pela MultiChannel.
Figura 7.1: Aspecto macroscópico das 3 culturas de neurônios de gânglio dorsal de
ratos Wistar, efetuadas em três matrizes de microeletrodos, com 60 eletrodos
(MEA60), fornecidas pelo fabricante MultiChannel.
Capítulo 7 - Resultados Experimentais
149
As 3 culturas têm as mesmas características e foram utilizadas em 8
experimentos distintos, assim distribuídos (exceto o experimento 9 que utilizou o
simulador MEASim):
a) Experimento nº 1: Neurônios de gânglios de raiz dorsal de ratos Wistar
cultivados em MEA. Este experimento foi efetuado com a finalidade de registrar os
sinais elétricos emitidos espontaneamente pelos neurônios, sem nenhum tipo de
estímulo, em atividade basal. É importante notar que a MEA utilizada neste
experimento, mostrada na Figura 7.1 (A) não era nova, e já havia sido utilizada
anteriormente em outros experimentos. Este fato pode ocasionar alterações nos
resultados, porque MEAs possuem desgaste e devem ser descartadas após
algumas utilizações.
b) Experimento nº 2: Neurônios de gânglios de raiz dorsal de ratos Wistar
cultivados em MEA, estimulados quimicamente com a adição de uma solução salina
de KCl (cloreto de potássio) com concentração igual a 20 mM (miliMol). Também foi
efetuado utilizando-se a MEA mostrada na Figura 7.1 (B). O cloreto de potássio é um
estimulante químico da atividade neural (VAN DEN POL et al., 1992).
c) Experimento nº 3: Neurônios cultivados na MEA número 2, mostrada na
Figura 7.1(B). Trata-se de uma MEA nova, sem uso prévio. Este experimento visou
medir a atividade basal dos neurônios, e portanto foi feito sem nenhum tipo de
estímulo. Somente o meio de cultura foi adicionado. Uma observação importante é
que foi verificado através de exame por microscopia óptica um acúmulo maior de
neurônios em torno do eletrodo de número 76 (coluna 7, linha 6) nesta MEA.
d) Experimento nº 4: Neurônios cultivados na MEA número 2, mostrada na
Figura 7.1(B). Neste experimento, os neurônios cultivados in vitro na MEA número 2
foram estimulados com uma solução de KCl com concentração molar de 30 mM.
e) Experimento nº 5: Neurônios cultivados na MEA número 3, mostrada na
Figura 7.1(C). Trata-se também de uma MEA nova. Este experimento visou medir a
atividade basal dos neurônios, sem nenhum estímulo.
Capítulo 7 - Resultados Experimentais
150
f) Experimento nº 6: Neurônios cultivados na MEA número 3, mostrada na
Figura 7.1(C) são estimulados quimicamente pela adição de uma solução de KCl
com concentração igual a 40 mM.
g) Experimento nº 7: A MEA número 2 foi lavada em água corrente, a cultura
foi totalmente retirada e foi preenchida com uma solução-tampão de NaCl (cloreto de
sódio) com concentração molar igual a 50 mM. Este teste é denominado “Branco 1”
e tem por finalidade medir o padrão elétrico registrado na MEA na ausência de
qualquer cultura de neurônios. Neste teste não havia nenhuma célula capaz de
produzir estímulos elétricos na solução.
h) Experimento nº 8: A MEA número 3 foi lavada com água, e foi preenchida
com uma solução-tampão de NaCl (cloreto de sódio) com concentração molar igual
a 50 mM de modo idêntico ao efetuado no experimento nº7. Este teste é
denominado “Branco 2” e tem a mesma finalidade do teste nº 7, isto é, avaliar o nível
de ruído elétrico gerado pelo próprio equipamento, na ausência de culturas de
células na matriz.
i) Experimento nº9: Registro da atividade elétrica colocando-se no
equipamento o Simulador MEASim (Mea Simulator). O Simulador MEASim é
mostrado na Figura 7.2(A) ao lado de uma MEA60 padrão, em (B).
Figura 7.2: Aspecto do simulador eletrônico MEASim (A), desenvolvido pelo autor
durante seu trabalho de Mestrado; ao lado, em (B), é mostrada uma MEA60 padrão,
fornecida por MultiChannel.
Capítulo 7 - Resultados Experimentais
151
Durante os experimentos, o equipamento foi montado no interior de uma
Gaiola de Faraday conforme é mostrado na Figura 7.3. Nesta Figura é mostrado o
sistema convencional descrito no Capítulo 3 pronto para efetuar os registros da
atividade eletrofisiológica das culturas em MEA, nos 9 experimentos acima descritos.
Figura 7.3: Equipamento de registro dos sinais em MEA, montado no interior da
Gaiola de Faraday, desenvolvida pelo autor neste projeto.
Todos os registros foram efetuados com o equipamento desenvolvido.
A Figura 7.4 mostra em detalhes este equipamento, no momento do
experimento nº 9.
Todos os experimentos com animais foram feitos com a autorização do
Conselho de Bioética da Universidade Federal de Uberlândia, seguindo protocolos
deste Conselho, de modo a evitar o sofrimento dos animais. Os biólogos da UFU
ficaram responsáveis por tais experimentos e pelas necessárias autorizações para
efetuá-los.
Capítulo 7 - Resultados Experimentais
152
Figura 7.4: Foto mostrando o protótipo do equipamento de registro durante o
experimento nº 9. Observar o Soquete elétrico cuja descrição foi feita no Capítulo 3
deste trabalho.
7.1.1 Preparação das culturas em MEA
O preparo das culturas de neurônios em MEA foi efetuado pela equipe da
profa. Dra. Celina Lotufo (UFU) como já mencionado, e seguiu o protocolo descrito
no documento “MEA -Application Note: Neuronal Cell Culture – Cultivation,
Recording and Data Analysis”, fornecido no website de MultiChannel Systems (MCS,
2015). Um resumo dos passos utilizados nesta preparação é descrito a seguir:
a) Limpeza das MEAs:
- Deixar a MEA em 1% de solução Terg-A-Zyme durante a noite
- Lavar bem as MEAs com água destilada deixar na água por algumas horas
durante a noite;
Capítulo 7 - Resultados Experimentais
153
Deixar secar. Em seguida, colocar a MEA em um limpador de plasma por um
tempo entre 1 e 2 minutos.
b) Revestimento da MEA:
- Preparar uma solução de Poli-D-Lisina (PDL):
- Diluir 100 μl de PDL (1 mg/ml) em 10 ml de água destilada estéril (1:100);
- Adicionar ~1 ml desta solução por MEA, no centro da MEA, à temperatura
ambiente, e manter durante aproximadamente uma hora;
- Remover a solução PDL, lavando-se a MEA com o seguinte procedimento:
- Adicionar água destilada estéril e remover; repetir duas vezes;
- Preparar uma solução de Laminina (Lm): 20 μl Lm (1 mg/ml) em 250 μl
de DPBS +
- Colocar 25 μl sobre a área coberta pelos microeletrodos.
-
Deixar
à
temperatura
de
37°C
até
ao
final
da
preparação
(aproximadamente 4 horas);
- Remover Lm;
- Adicionar 30 μl de meio Neurobasal e remover; repetir duas vezes;
- Adicionar 30 μl de meio Neurobasal e manter à temperatura de 37°C até
que as células estejam prontas para o plaqueamento.
c) Isolamento dos neurônios DRG:
- Preparar 4 pequenas placas de Petri (35 mm) e 2 placas grandes (100 mm)
com DPBS; após isso, colocá-las à temperatura de 4°C;
- Realizar a eutanásia do rato, usando dióxido de carbono;
- Decapitar o animal com uma tesoura grande e afiada ou com uma guilhotina;
- Remover toda a coluna vertebral e colocá-la em DPBS-;
-Colocar a coluna com a parte ventral para cima. Aparar bem e remover os
tecidos, de acordo com as técnicas cirúrgicas veterinárias;
- Cortar cuidadosamente a coluna vertebral na linha medial;
- Colocar metade desta coluna em DPBS-, à temperatura de 4 °C;
- Colocar a outra metade em DPBS+ e começar a colher os gânglios (até 30
DRG para cada rato) removendo cuidadosamente com a ajuda de um
estereomicroscópio;
- Recolher em DPBS- e manter a 4°C (no total, tem-se placas de 4 x 35 mm
com 7-8 gânglios cada).
Capítulo 7 - Resultados Experimentais
154
- Aparar bem, removendo os nervos dos gânglios nas Culturas Celulares
Neurais.
d) Digestão Enzimática e Dissociação Celular:
- Colocar 5 ml de solução de digestão 1 filtrada (0,2 μm) em uma pequena
placa de Petri;
- Adicionar todos os gânglios;
- Incubar a 37°C por 40 min;
- Remover a solução de digestão 1;
- Adicionar 5 ml de solução de digestão 2 filtrada (0,2 μm);
- Incubar a 37°C por 40 min. Mexer delicadamente a cada 15 min;
- Adicionar 60 μl de DNAse I (80 unidades Kunitz/ml) a 6 ml de meio DMEM;
- Remover a solução de digestão 2;
- Adicionar solução DNAse usando uma pipeta Gilson de 1 ml bem esmagada
(x5), mas com cuidado até que os gânglios estejam bem dissociados;
- Filtrar através de um filtro de células (40 μm)
- Adicionar meio DMEM até 10 ml
- Centrifugar a 126 g (1000 tr/min) durante 5 minutos a RT;
- Cuidadosamente, aspirar o sobrenadante;
- Suspender novamente o pellet em 200 ul de meio Neurobasal-A (com NGF e
N2)
e) Semeando neurônios DRG na MEA:
-Usar um hemocitômetro para contar o número de células por μL. Rendimento
esperado: 100-300 células/μl;
- Diluir as células para 100 células/μl;
- Retirar a MEA da incubadora;
-Aspirar o meio (uma MEA de cada vez) e adicionar suspensão celular
suficiente para restar cerca de 100.000 células/!
dentro do prato central da MEA;
- Manter a 37ºC na incubadora e, após 1-2 horas, adicionar cuidadosamente
800 µl de meio Neurobasal;
- No dia seguinte, trocar 50% do meio com meio Neurobasal fresco.
Capítulo 7 - Resultados Experimentais
155
7.1.2 Leitura dos dados registrados
Os dados registrados pelo equipamento são primeiramente salvos em
arquivos de formato .TXT e depois convertidos para arquivos de formato .CSV
(Comma Separated Values) através de um programa conversor. Uma vez que
arquivos do tipo .CSV podem ser abertos e lidos pelo programa Microsoft Excel,
inicialmente tais arquivos foram abertos para verificação por meio deste software. A
Figura 7.5 mostra o aspecto de parte da tela do software Excel, mostrando parte dos
dados que foram registrados no experimento nº 3. Observar a primeira linha de cada
coluna, que descreve de qual chip do Conversor AD vieram os sinais da coluna e
também de qual canal deste chip.
Figura 7.5: Arquivos de formato .CSV de registro dos sinais elétricos provenientes da
MEA do experimento número 3, que foram visualizados por meio do programa
Microsoft Excel. Observar na primeira linha de cada coluna a marcação do chip e do
canal do chip do qual provém cada sinal presente em cada coluna.
Arquivos de formato .CSV também podem ser manipulados utilizando-se o
programa MATLAB e neste Capítulo, os gráficos foram impressos com este
software. Os arquivos .CSV são registrados no computador PC em lotes de tamanho
em torno 22 megabytes cada, para facilitar sua visualização. Caso não se
procedesse desta forma, poderiam ser produzidos arquivos muito grandes em
termos de ocupação de memória, o que tornaria difícil seu manuseio pelo
computador. Cada número em cada coluna representa uma amostra de tensão
elétrica registrada em um dos canais: os números possuem valores entre 0 e 4095
Capítulo 7 - Resultados Experimentais
156
(resolução de 12bits) e correspondem aos seguintes níveis de tensão verdadeiros
em cada entrada do conversor AD:
-
0 (zero) corresponde a -2.5 volts;
-
2048 corresponde a 0 volts (valor de off-set).
-
4095 corresponde a + 2,5 volts.
-
O valor de off-set (2048) é garantido pela presença dos capacitores nos
circuitos dos pré-amplificadores, conforme explicado no Capítulo 3.
A conversão é linear entre estes dois extremos, e qualquer outro valor pode ser
obtido através de uma regra de proporção direta. A equação de conversão para um
valor X encontrado nas Tabelas da Figura 7.5 é então mostrada em (7.1):
’=
·.I
ZI¸·
(x-2048)
(7.1)
onde Y é o valor da tensão de saída real presente em uma determinada entrada do
conversor AD. Para saber qual é o valor de tensão verdadeira lida no eletrodo da
MEA é necessário dividir Y pelo produto dos ganhos do amplificador (G=11) e do
pré-amplificador (G=102) o que equivale a dividir a variável Y por 1127. Então, a
tensão presente no eletrodo da MEA relaciona-se com o valor X mostrado na Figura
7.5 pela seguinte equação final, (7.2):
X=
¹
‘.•
º•»‘
(¼−2048)¾
¿
(7.2)
onde U é o valor verdadeiro da tensão (em volts) registrada em cada eletrodo da
MEA, pois já foi descontado os ganhos dos circuitos amplificadores.
É importante notar que o tempo entre uma leitura de tensão e outra é sempre igual a
T = 1/10Z segundos, pois a frequência de amostragem foi fixada pelo firmware do
FPGA em 10KHz. Então, entre uma amostra e outra temos sempre um tempo igual a
0,0001 s. Mas é importante frisar também que a todo momento são lidas 8 amostras
(pois são 8 conversores AD) e não existe nenhum tempo entre estas 8 amostras
(pois são simultâneas). Desta maneira o canal 1 do chip1, canal 1 do chip 2, canal 1
do chip 3 ….. canal 1 do chip 8 são lidos simultaneamente. A mudança para a leitura
do canal 2 de todos os chips é que demora 0,0001 s. O software que fará a análise
Capítulo 7 - Resultados Experimentais
157
dos dados (e que está neste momento sendo desenvolvido por outro pesquisador)
deverá levar este fato em conta no momento de imprimir os gráficos em tela.
7.2 Software para leitura e análise de dados
O software para leitura dos dados registrados no equipamento desenvolvido
neste trabalho está sendo escrito no momento da elaboração desta tese por outro
pesquisador do mesmo grupo, o prof. Dr. João Fernando Mari, que trabalha na
Universidade Federal de Viçosa, no Câmpus da cidade de Rio Paranaíba. Este
software, cujo trabalho ainda se encontra em desenvolvimento, foi denominado
“mea-sig” e sua interface gráfica é mostrada na Figura 7.6.
Figura 7.6: Interface gráfica do software mea-sig, em desenvolvimento. Esta interface
permite visualizar o sinal elétrico presente em cada um dos 59 canais da MEA, bem
como proceder análise de spikes, bursts e outras análises estatísticas nos sinais.
Agradecimentos ao prof. Dr. João Fernando Mari (UFV).
O software mea-sig permite escolher visualizar individualmente cada canal,
através de uma matriz que representa a posição real de cada eletrodo na MEA. A
Figura 7.7 mostra esta matriz, que se encontra na interface gráfica do software.
Capítulo 7 - Resultados Experimentais
158
Figura 7.7: Grade que representa as posições verdadeiras de cada eletrodo na MEA:
na interface gráfica do software mea-sig é possivel escolher visualizar um canal em
particular, clicando em cima da sua posição nesta grade.
7.3 Gráficos dos registros
7.3.1 Experimento nº 1
Com a finalidade de apresentar alguns resultados dos experimentos 1 a 9
mencionados, o software MATLAB versão R2014 foi utilizado para imprimir os
gráficos à partir dos arquivos .CSV gerados pelo sistema. Um script capaz de
converter os dados do formato .CSV para o formato .mat, próprio do MATLAB,
também foi desenvolvido. Os gráficos são apresentados a seguir e correspondem a
trechos significativos que representam o funcionamento do equipamento que está
sendo validado. A Figura 7.8 mostra alguns gráficos obtidos a partir dos registros do
experimento 1, MEA 1 em atividade basal.
Oito canais são mostrados simultaneamente na mesma Figura. Na
Figura 7.8 que corresponde aos sinais elétricos registrados no experimento nº 1,
canais 1 até 8 respectivamente (eletrodos 12, 22, 32, 42, 52, 62, 72 e 82 da MEA,
cuja posição é
representada na Figura 7.7) pode-se observar apenas o ruído
resultante da atividade elétrica do próprio equipamento. Nenhum sinal típico de
neurônio pode ser distinguido na Figura 7.8 (por exemplo: spikes ou bursts). Isso
está de acordo com o esperado, porque este primeiro experimento foi efetuado em
Capítulo 7 - Resultados Experimentais
159
atividade basal, sem nenhuma excitação na matriz. Assim, é esperado que em
condições de repouso, nenhuma atividade elétrica seja observada. Com a finalidade
de melhor avaliar os níveis de ruído elétrico produzido pelo próprio equipamento
durante um teste real, a Figura 7.9 mostra um registro de apenas um canal (canal
13, correspondente ao eletrodo na posição 53 na MEA) onde pode-se observar e
medir os níveis de ruído.
Figura 7.8: Gráficos correspondendo aos registros dos 8 primeiros canais do
experimento nº 1. Observar o sinal correspondente ao ruído elétrico gerado pelo
aparelho.
Figura 7.9: Registro do canal 13 (eletrodo na posição 53 da MEA) durante o
experimento nº1. Neurônios em atividade basal não produziram sinais elétricos.
Capítulo 7 - Resultados Experimentais
160
Os valores do arquivo de formato .CSV cujo gráfico é mostrado na Figura 7.9
foram submetidos à equação que permite calcular o nível RMS do ruído, que é a
equação (7.3):
X = ¯ ∑¶
.C
¶
.
(7.3)
O valor calculado foi igual a 37 mV (RMS) na entrada do conversor AD. Este
valor de ruído é bastante elevado e ainda precisa ser melhorado, pois implica que
um sinal de amplitude RMS igual ou menor que (37 / 1127 = 32,8 µV ) presente nos
eletrodos da MEA não poderia ser lido, pois seu nível seria menor do que o nível do
ruído gerado pelo próprio equipamento convencional de registro em teste.
7.3.2 Experimento nº 2
A Figura 7.10 mostra os registros de parte do sinal elétrico presente no canal
13 do eletrodo na posição 53 da MEA 1, durante o experimento 2, com excitação
química através da adição da solução de cloreto de potássio na matriz. Nenhuma
diferença significativa foi observada (nem neste, e nem em nenhum outro canal
desta MEA) neste experimento, comparando a Figura 7.10 com a Figura 7.9. Esta
aparente inatividade elétrica pós-excitação poderia ser explicada pelo fato da MEA 1
(na qual este experimento foi efetuado) ser já bastante usada e desta forma seus
eletrodos não estariam aptos a captar os sinais elétricos, devendo esta MEA ser
descartada.
Figura 7.10: Registro do canal 13 da MEA 1, durante o Experimento nº 2. Nenhuma
atividade elétrica foi registrada neste experimento, nem neste, e nem em nenhum
outro canal, comparando a Figura 7.10 com a Figura 7.9 – ambas representam o
mesmo canal, antes e após o estimulo.
Capítulo 7 - Resultados Experimentais
161
A análise matemática do sinal por meio da aplicação da equação (7.3)
(através do software MATLAB) resultou num nível RMS de sinal igual a 35mV, muito
similar ao Experimento nº1, o que evidencia a ausência de estímulos neurais neste
experimento.
7.3.3 Experimento nº 3
Este experimento, ao contrário dos dois que o precederam, foi efetuado
utilizando-se a MEA nº 2, com pouco uso (quase nova) portanto seus eletrodos
estavam em bom estado. Neste caso, o registro da atividade elétrica dos 59 canais
também foi feita sem estimulação, em atividade basal (neurônios em repouso)
conforme já mencionado. A Figura 7.11 mostra o resultado do registro efetuado no
canal 39 do equipamento (na verdade, para ser fiel à notação adotada no arquivo
.CSV, corresponde ao canal 7 do chip 5) o qual equivale ao eletrodo na posição 76
da MEA (posição esta mostrada na Figura 7.7). Esta posição foi escolhida porque foi
observado pelos biólogos uma forte presença de neurônios em torno deste eletrodo.
Figura 7.11: Registro da atividade elétrica em torno do eletrodo na posição 76 da
MEA nº 2 no Experimento nº 3. Este registro é feito sem estímulos.
Nesta Figura 7.11 não se verifica atividade elétrica proveniente dos neurônios.
A observação dos demais canais para este mesmo experimento também não
mostrou nenhuma atividade elétrica significativa. Entretanto, ao calcular o valor RMS
médio do ruído neste caso, foi obtido um valor ligeiramente maior em relação aos
experimentos anteriores: 44mV RMS, o que pode ser atribuído ao ruído biológico.
Capítulo 7 - Resultados Experimentais
162
7.3.4 Experimento nº 4
Neste experimento, a mesma MEA nº 2 usada no experimento anterior, foi
estimulada com a adição da solução de KCl. Analisando-se vários trechos do sinal
elétrico em torno do eletrodo na posição 76 da MEA, foi escolhido o trecho
exatamente onde ocorre a adição da solução de KCl na MEA nº 2, resultando no
gráfico mostrado na Figura 7.12.
Figura 7.12: Registro do sinal elétrico do eletrodo 76 da MEA nº 2 no momento da
aplicação do estímulo químico com solução de KCl na cultura do Experimento nº 4.
A alteração no padrão elétrico produzido é notável a olho nu. A amplitude das
oscilações aumentaram de um valor inicial (antes da amostra de número 2000) igual
a 44mV (RMS) para cerca de 370mV (RMS) após a aplicação da solução de KCl.
Inegavelmente o sinal elétrico resultante da estimulação dos neurônios DRG foi
registrado neste experimento nº 4, reagindo ao estímulo da substância química. Para
comprovar esta hipótese, continuou-se analisando os sinais deste mesmo eletrodo,
na sequência temporal. Após cerca de 2 minutos, foi encontrado o seguinte registro
no mesmo canal (eletrodo posição 76), mostrado na Figura 7.13.
Capítulo 7 - Resultados Experimentais
163
Figura 7.13: Registro da atividade elétrica em torno do eletrodo na posição 76 da
MEA nº 2, Experimento nº 4, cerca de 2 minutos após a aplicação do estimulo
químico de KCl.
Na Figura 7.13 é possível observar o momento em que o efeito da
estimulação química diminui pela própria atividade de absorção do tecido biológico,
porém não cessa por completo: os neurônios em torno do eletrodo 76 passam a
disparar num padrão típico de spikes e bursts. Este foi sem dúvida um dos
resultados mais importantes na validação do equipamento.
7.3.5 Experimento nº 5
Registros feitos na MEA nº3 em atividade basal, sem estímulos. A Figura 7.14
mostra o registro dos canais 1 até 8, correspondentes aos eletrodos nas posições
12, 22, 32, 42, 52, 62, 72 e 82, posições estas que são mostradas na Figura 7.7. Por
razões ainda não esclarecidas os eletrodos nas posições 32, 72 e 82 não
registraram nenhuma atividade, nem mesmo o ruído. Pela Figura 7.14 pode-se ver
que o sinal elétrico nestes canais é nulo. Provavelmente isto foi devido à falha do
equipamento no momento de registrar tais canais, ou então falha na MEA. Isto
ocorreu somente com a MEA nº 3 e ocorreu durante todo o experimento nº 5, em
diversos canais da MEA nº 3, apesar de ser um dispositivo com pouco uso, quase
novo, segundo informações do laboratório. O autor acredita que a falha possa ser
Capítulo 7 - Resultados Experimentais
164
desta MEA, porque nas outras duas, este fenômeno não ocorreu. Porém, alguma
falha no Soquete ou no amplificador não pode ser descartada.
Figura 7.14: Registro da atividade elétrica dos neurônios nas posições 12, 22, 32, 42,
52, 62, 72 e 82 da MEA nº 3, Experimento 5.
Os canais 1, 2, 4, 5, 6 mostrados na Figura 7.14 registraram sinais de ruído
normalmente, em nível basal. Nenhuma atividade de spikes e bursts foi verificada
neste experimento. O nível RMS de ruído calculado pela equação (7.3) ficou em
torno de 40mV, variando um pouco entre os canais.
7.3.6 Experimento nº 6
Neste experimento a MEA nº 3 foi submetida ao estímulo químico com a
solução de KCl. A Figura 7.15 mostra os resultados dos registros em 4 canais neste
Experimento.
Capítulo 7 - Resultados Experimentais
165
Figura 7.15: Registro de atividade elétrica nos canais 1, 2, 4 e 5 (posições 12, 22, 42
e 53 na MEA) para a MEA nº 3, Experimento nº 6, após o estimulo químico.
Na Figura7.15-(A) é mostrado o registro dos sinais elétricos no canal 1,
correspondente à posição 12 da MEA; em 7.15-(B) é mostrada a atividade elétrica
no canal 2 (eletrodo 22), em 7.15-(C) o registro do canal 4 (eletrodo 42) e finalmente,
em 7.15-(D) é registrado o canal 5 (posição 52 na MEA). Em todos estes 4 registros
pode-se perceber, comparando-se com os mesmos registros mostrados na Figura
7.14, um aumento na atividade elétrica dos respectivos canais pós estímulo químico.
As amplitudes RMS dos sinais nestes canais, calculadas pelo MATLAB aplicando-se
a equação (7.3) foram 376mV, 394mV, 422mV e 376 mV respectivamente. Todos os
valores são da ordem de 10 vezes maiores do que os valores registrados em níveis
basais mostrados na Figura 7.14 anterior.
7.3.7 Experimento nº 7
A MEA nº 2 foi limpa e lavada com água destilada. Após a limpeza, foi
novamente colocada no soquete do equipamento de registros, para registrar o sinal
denominado “branco 1”, ou seja, sem nenhuma cultura biológica. Este experimento é
importante para avaliar os níveis de ruído intrínsecos do equipamento. A Figura 7.16
mostra os registros para os 8 primeiros canais da MEA nº 2 neste experimento.
Capítulo 7 - Resultados Experimentais
166
Figura 7.16: Registro do sinal elétrico sem a presença da cultura na MEA nº 2.
Todos os sinais presentes neste experimento são apenas ruídos gerados pelo
aparelho, porque neste caso não existe nenhuma cultura na MEA. A MEA foi
preenchida com uma solução de cloreto de sódio, com a finalidade de abaixar um
pouco a impedância e simular uma situação semelhante a que ocorre (em termos de
impedância elétrica) na presença do meio de cultura, uma vez que o pH da solução
salina é semelhante ao pH do meio basal utilizado para cultivar as células na MEA,
de acordo com MultChannel Systems. A Figura 7.16 registra os níveis de ruído
gerados pelos pré-amplificadores, amplificadores, conversores AD, placa FPGA e
placa Orange PI, somados. Os níveis de ruído obtidos através da aplicação da
equação (7.3) são mostrados na Tabela 7.1 a seguir, para cada um dos 8 canais
mostrados na Figura 7.16 para os sinal “branco 1”.
Tabela 7.1: Níveis de ruído em cada um dos 8 primeiros canais.
Canal
1
2
3
4
5
6
7
8
Posição na MEA
12
22
32
42
52
62
72
82
Nível de ruído(ÀÁÂÃ )
37
35
41
48
31
32
50
38
Capítulo 7 - Resultados Experimentais
167
Conforme já foi mencionado, este níveis de ruído são elevados para este tipo
de equipamento e exigem a construção de um outro protótipo em placa de circuito
impresso com tecnologia SMD e uso de multilayers, com layers de terra entre uma
face e outra da placa para solucionar este problema e reduzir tais níveis.
7.3.8 Experimento nº 8
A MEA nº3 foi lavada e procedimento idêntico ao adotado no experimento 7
foi feito para registrar os sinais denominados “branco 2”. MEA sem nenhum tipo de
cultura e preenchida com solução aquosa de cloreto de sódio. A Figura 7.17 mostra
os sinais registrados nesta MEA agora sem cultura biológica.
Figura 7.17: Resultado do registro dos sinais “branco 2” para MEA nº 3,
correspondendo aos canais de 1 até 8 (posições 12, 22, 32, 42, 52, 62, 72 e 82 dos
eletrodos da MEA).
Curiosamente, neste caso, nem o ruído de instrumentação foi registrado para
a MEA nº 3 nestes primeiros 8 canais. Novamente, uma hipótese pode indicar
problemas com a MEA. Pode ser que os técnicos que preparam as culturas tenham
se confundido com relação ao estado de uso das MEAs nº1 e nº3 e que na verdade,
a MEA que está gasta e precisa ser descartada seja a de número 3. Mas de acordo
com o relato deles, a MEA usada é mesmo a de número 1. Outra hipótese que
poderia explicar a ausência do ruído neste experimento seria a utilização de uma
solução salina de cloreto de sódio muito mais concentrada do que a utilizada no
Capítulo 7 - Resultados Experimentais
168
experimento nº 7: de fato, uma solução muito concentrada, por ser iônica, tornaria a
água fortemente condutiva e rebaixaria muito a impedância entre os eletrodos da
MEA. Quanto menor for a impedância entre os eletrodos e entre estes e o eletrodo
de referência (“terra”) menor é o nível de ruído registrado pelo sistema. Infelizmente,
o autor só analisou estes dados posteriormente e ficou impossível verificar a
hipótese da concentração salina elevada nesta MEA, pois o sistema já havia sido
desmontado. Para visualizar simultaneamente todos os canais da MEA nº3 no
experimento nº 8, o autor fez uso do software mea-sig, gerando a Figura 7.18 a qual
mostra o sinal “branco 2” em todos os canais.
Figura 7.18: Sinais referentes aos 60 canais visualizados com o software mea-sig.
Observando-se a Figura 7.18 pode-se perceber que quase todos os sinais
estão no nível de referência de off-set (zero volts) com a presença esporádica de
alguns artefatos (ruídos).
7.3.9 Experimento nº 9
Neste experimento, todo o sistema de registro foi testado colocando-se no
soquete o simulador eletrônico MEASim mostrado na Figura 7.2. O simulador
MEASim produz em sua saída sinais senoidais de período 18ms. A Figura 7.19
mostra um dos canais do equipamento de registro quando captura os sinais do
simulador. O canal representado no gráfico da Figura 7.19 é o canal 3 do chip 6 do
Capítulo 7 - Resultados Experimentais
169
conversor AD, que corresponde ao eletrodo na posição 37 da MEA (ver Figura 7.7).
O sinal senoidal amostrado tem amplitude igual a 440 mV pico-a-pico. O sinal
original produzido pelo MEASim tem amplitude igual 400 µV em um pad e 200 µV no
pad adjacente, conforme já mencionado.
Figura 7.19: Resultado do registro dos sinais do simulador MEASim no canal 3 do
chip 6 (posição 37 da MEA).
Multiplicando a amplitude do sinal originalmente produzido por MEASim pelo
ganho do amplificador obtem-se o resultado mostrado na equação (7.4):
400 µV = 0,4 mV ⇔ 0,4 x 1127 = 450,8 mV (pico-a-pico)
(7.4)
o qual está muito próximo do resultado visualizado na Figura 7.19.
Com relação à frequência da onda senoidal produzida, pode-se observar que
em 1000 amostras tem-se 6 períodos: desta forma, temos 1 período a cada 166,67
amostras. Como o tempo entre uma amostra e outra é igual a 0,0001s, então o
período da senóide mostrada na Figura 7.19 é de 16,67 ms, bem próximo do valor
esperado (18ms). O erro se deve à dificuldade de interpretação visual do gráfico,
observando-se que a senóide também aparece corrompida com ruído.
Capítulo 7 - Resultados Experimentais
170
7.4 Ensaios com o subsistema REAC (Ressonador Estocástico
Assistido por Computador)
A Figura 7.20 mostra o aspecto do setup experimental preparado para os
experimentos de validação do subsistema REAC. O sistema REAC não pôde ser
avaliado com neurônios reais cultivados em MEA, até o momento em que este
trabalho foi escrito. Porém, o autor pretende fazer estas avaliações e publicar os
resultados assim que possível.
Figura 7.20: Setup experimental para validação do subsistema REAC.
As avaliações do REAC foram feitas utilizando-se o simulador MEASim,
modificado de modo a produzir em suas saídas um sinal de amplitude igual a 50µV
p-p. Para que o simulador MEASim produzisse tal sinal, dois resistores foram
substituídos, conforme ilustrado esquematicamente na Figura 7.21(A) e no lay-out da
placa, na Figura 7.21(B).
Capítulo 7 - Resultados Experimentais
171
Figura 7.21: (A) Diagrama esquemático mostrando os resistores que compõe o
divisor de tensão que foram alterados no projeto do simulador MEASim, de modo a
produzir senóides de amplitude indetectáveis sem o uso de amplificadores. Em (B) é
mostrada a posição dos resistores substituídos na placa de circuito impresso do
MEASim.
O sinal original do MEASim (sem atenuador) tem amplitude igual a 100 mVpp, frequência de 12Hz e formato de onda senoidal. Com a finalidade de atenuar o
sinal, novo divisor de tensão foi calculado usando-se a equação (7.5):
'f;.S; =
e
dO e
'0_U/;S; ⇒ 50 . 10L` =
·II
IÆ O·II
100. 10L"
(7.5)
A Figura 7.22 (A) mostra em azul o sinal original produzido pelo MEASim
antes da modificação que atenuou o sinal. Já na Figura 7.22 (B) o sinal é mostrado
atenuado também em azul. Este sinal, com esta atenuação, não pode ser lido pelo
osciloscópio Tektronix modelo TBS1102B porque seu nível se confunde com o nível
de ruído do instrumento, conforme mostrado na Figura 7.22(B).
(A)
(B)
Figura 7.22: (A) Sinal gerado pelo simulador MEASim antes da substituição dos
resistores divisores de tensão; (B) Sinal gerado após a substituição dos resistores:
observar que o sinal se torna indetectável, pois o ruído de instrumentação é de maior
amplitude do que o sinal senoidal gerado.
Capítulo 7 - Resultados Experimentais
172
A Figura 7.23 mostra os resultados dos experimentos feitos com o sinal
gerado pelo módulo MEASim, aplicados à entrada do ressonador estocástico REAC.
Foi variado apenas o nível de ruído, sendo que o nível de sinal senoidal ficou
constante, com amplitude 50µV rms. Na Figura 7.23(A) o nível de ruído tem
amplitude 2,5mVp-p (traço em amarelo). Pode-se observar o sinal senoidal
produzido pelo MEASim pelo traço em azul; Pela Figura 7.23(B) pode-se observar
que a amplitude do ruído Gaussiano foi aumentada para um valor igual a 5mVp-p.
Isso possibilitou a detecção do sinal senoidal somado ao ruído com amplitude igual a
315 mVp-p. Aumentando-se a amplitude do ruído para 7,5 mVp-p como mostrado na
Figura 7.23(C) o sinal senoidal foi detectado com amplitude igual a 1,33Vp-p
atingindo-se o ponto de RE; Na Figura 7.23(D) a amplitude do ruído foi aumentada
ainda mais, até atingir o valor de 10mVp-p, com o a qual o sinal foi detectado com
amplitude de 1,26 Vp-p. A Tabela 7.2 mostra os respectivos valores de intensidade
de ruído, de nível de sinal detectado, de relação sinal/ruído e o ganho em decibéis
para cada caso, em todos os testes efetuados.
Tabela 7.2: Resultados para os testes mostrados na Figura 7.23.
Testes
Ruído
Sinal+Ruído
SNR
Ganho total de
Figura 8.23
(mV p-p)
(mV p-p)
(dB)
amplificação (dB)
(A)
2,5
99,5
6
23
(B)
5
315
18
38
(C)
7,5
1334
22,5 (*)
44,3
(D)
10
1259
21
44
(E)
15
1191
19
43,7
(F)
20
796
16
42
(G)
30
559
12,7
40,5
(H)
40
400
10
39
Capítulo 7 - Resultados Experimentais
Figura 7.23: Testes efetuados com o módulo REAC. Pode-se observar que o ponto de
ressonância estocástica foi obtido no gráfico em (C).
173
Capítulo 7 - Resultados Experimentais
174
É importante frisar que os níveis de ruído (traços em amarelo) mostrados nos
gráficos da Figura 7.23 aparecem amplificados por um fator igual a 100 vezes (ou 20
decibéis). Isso foi necessário porque para medir os níveis de ruído utilizando-se o
osciloscópio da marca Tektronix modelo TBS 1102B, foi necessário amplificar o
ruído para que o mesmo pudesse ser mensurado adequadamente. Níveis de ruído
da ordem de 2,5 mVp-p misturam-se com os níveis de ruído do próprio instrumento,
portanto não puderam ser medidos diretamente. Para os testes mostrados na Figura
7.23 foi necessário portanto construir um amplificador capaz de amplificar 100 vezes
o ruído Gaussiano, antes de aplicá-lo à ponta de prova do osciloscópio para as
medições da Tabela 7.2. O gráfico mostrado na Figura 7.24 ilustra os dados da
Tabela 7.2 de modo mais visível, onde pode-se ver a clássica curva que caracteriza
o fenômeno RE.
Figura 7.24: Gráfico mostrando a relação Sinal/Ruído (SNR) em decibéis em função
do nível de ruído ajustado no módulo REAC, em mVp-p.
A Figura 7.25 ilustra graficamente o ganho do total do sistema (em decibéis)
em função do nível de ruído aplicado: de modo geral, pode-se observar que, para
um sistema com ressonância estocástica, o ganho de amplificação é máximo no
ponto onde ocorre RE. Entretanto, uma vez que aumenta-se a intensidade do ruído,
o sinal aparece mais degradado em virtude da diminuição do valor de SNR.
Capítulo 7 - Resultados Experimentais
175
Figura 7.25: Gráfico ilustrando o ganho total de amplificação obtido em função da
amplitude do ruído aplicado à entrada do sistema.
Da análise dos gráficos das Figuras 7.24 e 7.25 pode-se concluir que
encontrar o nível correto de ruído que leva um sistema amplificador não-linear ao
ponto de ressonância estocástica (ponto ótimo) é muito importante para maximizar o
desempenho do sistema, porque para este nível de ruído tem-se simultaneamente a
melhor relação SNR e o maior ganho de amplificação.
7.5 Comparações entre o Sistema de Amplificação Convencional e
o Sistema por Ressonância Estocástica
Com a finalidade de avaliar de modo comparativo a eficiência do amplificador
REAC em relação ao sistema convencional de amplificação (usando os
amplificadores lineares descritos no Capítulo 3 deste trabalho) um sinal de
frequência igual a 12 Hz, período 0,0833 s, contendo 6 pulsos de largura igual a 600
µs em cada período, seguido de um período “refratário”, onde a onda permanece em
nível lógico baixo durante outros 0,0833s foi programado em um Gerador de
Funções da marca MINIPA, modelo MFG4210-B. O pulso foi assim escolhido por se
assemelhar aos impulsos elétricos emitidos por um neurônio quando dispara um
burst de pulsos (conjunto de spikes). A Figura 7.26 mostra o aspecto do pulso
Capítulo 7 - Resultados Experimentais
176
escolhido para os testes comparativos entre o sistema de amplificação convencional
e o sistema REAC.
Figura 7.26: Gráfico mostrando a forma de onda escolhida para os testes
comparativos entre o sistema convencional de amplificação e o sistema por
ressonância estocástica. Esta forma de onda foi escolhida por ser semelhante a um
burst proveniente do disparo de neurônios naturais.
Na Figura 7.26, em (A) é mostrada imagem obtida diretamente a partir da tela
do osciloscópio e em (B) imagem plotada pelo programa MATLAB a partir do
arquivo .CSV gerado também pelo osciloscópio. A Figura 7.27 mostra este mesmo
sinal em maiores detalhes, com a marcação dos respectivos intervalos de tempo.
Figura 7.27: Sinal utilizado para os testes de comparação, detalhando os intervalos
de tempo envolvidos.
Para obter este formato de onda, o gerador de sinais foi colocado no
modoPulse ⇒Burst ⇒Gated. A amplitude do pulso mostrado na Figura 7.27 foi
reduzida até se tornar indetectável ao osciloscópio sem o uso de amplificadores.
Capítulo 7 - Resultados Experimentais
177
Uma amplitude inicial igual a 100µVp-p foi ajustada através de outro divisor
de tensão, constituído por um potenciômetro de valor igual a 1 MΩ, de modo similar
ao ilustrado na Figura 7.21. Este outro divisor foi adaptado à saída do gerador de
sinais MINIPA modelo MFG4210-B. Foi possível variar o nível de sinal aplicado a
ambos os sistemas, simultaneamente. Os resultados destes testes comparativos são
mostrados na Figura 7.28. Nesta Figura pode-se observar à esquerda os gráficos
obtidos na tela do osciloscópio de duplo traço: o traço em amarelo corresponde ao
canal que mediu a saída do subsistema de ressonância estocástica (REAC) e o
traço em azul corresponde à saída de um canal do amplificador convencional
descrito no Capítulo 3, acrescido do módulo amplificador que foi adicionado ao
conversor AD. Ambos os sistemas de amplificação mediram simultaneamente o
mesmo sinal aplicado em suas entradas (sinal mostrado na Figura 7.27), sinal este
que foi atenuado para os seguintes valores de amplitude: 100 µV, 75µV, 50µV, 25µV
e 10µV pico a pico. Os níveis de ruído captados tanto pelo amplificador convencional
quanto pelo sistema REAC foram cuidadosamente anotados, bem como o valor do
ruído que foi ajustado para permitir o ponto ótimo e possibilitar a ressonância
estocástica. Estes dados estão representados à direita das imagens das telas do
osciloscópio, na Figura 7.28. Os dois canais do osciloscópio foram ajustados para a
mesma escala de tensão, igual a 100mV por unidade. O nível de ruído que
possibilitou o ponto ótimo de ressonância estocástica foi igual a 7,5mV p-p em todos
os casos. Tal nível parece não depender da amplitude do sinal a ser amplificado,
pelo menos na faixa que foi testada nestes experimentos (de 100µV até 10µV p-p).
Este é um resultado inesperado e interessante, digno de nota. O amplificador
convencional (composto pelo pré-amplificador interno ao soquete da MEA acrescido
de um canal do amplificador descrito no Capítulo 3 e incorporado ao conversor AD)
tem ganho total em torno de 1127 vezes, conforme já foi mencionado. O nível de
ruído do sistema convencional pode ser melhorado com um novo lay-out de placa de
circuito impresso para o conversor AD.
Capítulo 7 - Resultados Experimentais
Figura 7.28: Resultados dos testes comparativos entre o sistema de amplificação por
ressonância estocástica (REAC) e o sistema amplificador linear convencional.
178
Capítulo 7 - Resultados Experimentais
179
Na Tabela 7.3 é mostrado o ganho dos dois sistemas em função dos
diferentes níveis de sinal aplicado na entrada.
Tabela 7.3: Resultados dos testes comparativos entre os sistemas.
Sinal na entrada
(µV p-p)
Sinal na Saída
REAC (mV p-p)
Sinal saída
amplificador conv.
(mV p-p)
Ganho através de
RE (REAC) (dB)
Ganho através
amplificação conv.
(dB)
100
75
50
25
10
276
268
268
264
264
158
82
62
indetectável
indetectável
34,4
35,5
37,3
40,2
44,2
31,9
30,4
30,9
-----
A Figura 7.29 ilustra graficamente o ganho em decibéis dos dois sistemas de
amplificação, num mesmo sistema de eixos.
Figura 7.29: Comparação entre os ganhos (dB) do sistema de amplificação por
ressonância estocástica (REAC) e o sistema amplificador linear convencional.
7.6 Considerações Finais
Neste Capítulo foram apresentados os resultados dos experimentos com
neurônios em MEA efetuados na Universidade Federal de Uberlândia, que serviram
para validar o sistema desenvolvido no Capítulo 3. Foram também descritos os
testes feitos em laboratório de eletrônica com o sistema REAC, comparando ambos
os sistemas em termos de desempenho para sinais de baixa amplitude.
Capítulo 8 - Conclusões e Trabalhos Futuros
180
Capítulo 8
CAPÍTULO 8 -
CONCLUSÕES E TRABALHOS
FUTUROS
Neste Capítulo, que encerra a tese, são apresentadas as principais conclusões obtidas
ao longo da pesquisa, que demonstram que o fenômeno RE é útil e aplicável para
registro de sinais em MEA, com vantagens em relação ao sistema de amplificação
convencional, quando se analisam sinais de amplitude inferior a 100 µV. Outras
questões que permanecem em aberto, são apontadas para a continuidade deste
trabalho, em especial a otenção de uma expressão matemática analítica para se obter o
nivel de sinal que possibilita RE.
8.1 Conclusões
Observando-se comparativamente ambos os sistemas ao amplificar o mesmo
sinal de entrada bastante atenuado, pode-se observar que:
a) Para o sistema de amplificação convencional, o ganho é sempre da ordem
de 31decibéis (1127 vezes, conforme Capítulo 3), mas quando o sinal tem amplitude
inferior a 25μ' p-p, este torna-se indetectável, pois o nível de ruído do próprio
sistema amplificador é maior do que o nível do sinal presente na sua entrada;
b) Para o sistema de amplificação baseado no fenômeno RE, o ganho varia
na faixa entre 34,4 decibéis e 44,2 decibéis. O ganho aumenta à medida que a
intensidade do sinal de entrada é atenuado, em função da ação benéfica aditiva do
ruído que, somado ao sinal na entrada do sistema não-linear, produz o fenômeno de
ressonância estocástica e permite detectar o sinal de muito baixa amplitude;
Capítulo 8 - Conclusões e Trabalhos Futuros
181
c) Para sinais de amplitude maior do que 100μ' p-p, os ganhos de ambos os
sistemas praticamente se tornam iguais e não existem vantagens em empregar
amplificação através de RE. Este fato pode ser demonstrado pela observação do
gráfico da Figura 7.29.
d) O Sistema de amplificação não-linear baseado em ressonância estocástica
é bastante adequado para detectar spikes e bursts em sinais produzidos por
neurônios naturais, como nesta aplicação baseada em MEAs. Mesmo sinais de
muito baixa intensidade podem ser detectados.
No sistema convencional, tais sinais, por terem amplitude muito pequena, se
confundem com o próprio nível de ruído da instrumentação, e desta forma se tornam
indetectáveis;
e) O nível de ruído ótimo que possibilitou a melhor detecção através do
fenômeno de RE verificado nos testes permaneceu constante para sinais de entrada
na faixa de 10µV a 100µV p-p. O nível de ruído foi o mesmo que já havia sido
medido no teste efetuado com o simulador MEASim (7,5 mV p-p) em onda senoidal.
Faz-se necessários maiores investigações sobre este fato, pois este é um resultado
inesperado;
f) Ao aplicar uma onda de formato senoidal na entrada de ambos os sistemas
(teste ilustrado pela Figura 7.22), o amplificador convencional reproduz a onda de
modo fiel, preservando seu formato; o método de amplificação através de RE
deforma a senóide, porém suas componentes espectrais continuam presentes e são
detectáveis através de Transformada de Fourier (FFT) aplicada ao sinal resultante
na saída do REAC;
f) A própria Natureza utiliza o fenômeno de RE para propagar os impulsos
elétricos entre os neurônios, no interior do cérebro e também na transmissão dos
impulsos nervosos dos órgãos dos sentidos, tais como na transmissão dos sinais
elétricos provenientes da retina e dos nervos auditivos para o cérebro. Parece
natural ao autor usar o mesmo fenômeno para amplificar eletronicamente os sinais
dos neurônios cultivados in vitro em MEA; De fato, os testes desenvolvidos durante a
elaboração deste trabalho mostraram-se muito promissores para a adoção deste
Capítulo 8 - Conclusões e Trabalhos Futuros
182
sistema quando o objetivo principal for registrar spikes e bursts provenientes da
atividade neural in vitro;
g) Finalmente, os resultados dos testes do sistema de detecção através de
ressonância estocástica se mostraram muito promissores para os sinais elétricos
presentes em MEA, porque as características importantes a serem registradas são
os spikes e os bursts (conforme explicado no Capítulo 2).
É fato que se o desejado for analisar o formato exato do sinal elétrico, a
opção de utilizar RE não pode ser empregada. Entretanto, para a finalidade proposta
nesta tese (isto é, registrar sinais de neurônios em matrizes de microeletrodos) o
sistema em estudo baseado em RE se mostrou superior ao sistema usual, que é
baseado em amplificadores lineares convencionais. Sistemas de Interface CérebroMáquina (BCI) e equipamentos de eletroencefalograma (EEG) também podem se
beneficiar do método RE, uma vez que o mais importante não é conhecer a forma
exata da onda produzida, mas sim registrar os impulsos elétricos disparados pela
atividade dos neurônios, os quais muitas vezes tem intensidade inferior à
intensidade do ruído de instrumentação;
h) Ambientes ruidosos de Unidades de Terapia Intensiva (UTI) de hospitais
podem fazer uso do fenômeno de RE na aplicação de exames de EEG em seus
pacientes. De fato, uma das dificuldades na utilização do método de EEG nas UTIs,
até mesmo para se detectar morte cerebral dos pacientes, consiste justamente no
nível elevado de ruído eletromagnético de tais salas (PUZZI e BARJA, 2016). O
próprio ruído pode ser aplicado em sistemas RE para melhorar a captação dos
sinais, o que inspira o desenvolvimento de equipamentos de EEG baseados em RE.
8.2 Trabalhos Futuros
O autor espera continuar o desenvolvimento dos equipamentos de registro
convencionais e utilizando RE, e levar adiante as pesquisas com sinais elétricos em
matrizes de microeletrodos no Brasil, culminando com a construção de um
Capítulo 8 - Conclusões e Trabalhos Futuros
183
laboratório específico para pesquisas em MEA em alguma Universidade brasileira.
Boas candidatas são a Universidade Federal de Uberlândia, a Unicamp e a UFSCar.
Espera também poder contar com o apoio de pesquisadores internacionais, em
especial do prof. Sergio Martinóia (Universidade de Gênova, Itália) que tem
colaborado bastante na realização deste trabalho, e do professor João Batista
Destro (UFU) grande incentivador da pesquisa em MEA no Brasil.
Sobre o fenômeno RE, este merece especial atenção dos pesquisadores e
ainda há várias perguntas a serem respondidas, entre as quais:
a) Como determinar analiticamente o nível de sinal ótimo para RE ?
b) Este nível de sinal é constante, ou varia conforme a amplitude do sinal
presente na entrada do sistema ?
c) O sinal ótimo que possibilita RE depende da frequência do sinal a ser
detectado ?
d) É possível melhorar o desempenho de redes neurais computacionais (tais
como as redes de convolução) com a adição controlada de ruído ?
e) O fenômeno de RE pode ser utilizado para a construção de equipamentos
de registro de eletroencefalograma mais robustos para serem utilizados em UTIs ?
São perguntas que ensejam maior investigação e são alvo da continuidade
deste trabalho.
REFERÊNCIAS
ADEEL, MUHAMMAD SAFIAN e UMAR RASHID. “Optimization of Stochastic-Resonance based
Schmitt Trigger through parametric analysis.” Third International Conference on Electrical
Engineering: 1-6, 2009.
ANALOG DEVICES. Disponível on-line no site:
http://pdf1.alldatasheet.com/datasheet-pdf/view/48684/AD/ADG732.html.
Acessado em15 de Fevereiro de 2017.
ANDÒ B., SALVATORE G. “Stochastic Resonance, Theory and Aplications”, Kluwer Academics
Publishers, London, 1999.
ARDUINO, “Installing an Arduino bootloader”. Disponível online em:
https://learn.sparkfun.com/tutorials/installing-an-arduino-bootloader, acessado em setembro de
2017.
ARMBIAN. Sistema Operacional. Acessado on-line em: https://www.armbian.com/, 2019.
BARRETO, M. A.; FAMBRINI, F. ; SAITO J. H. “Microelectrode Array Signal Amplification Using
Stochastic Resonance”. In: IECON2015 - 41st Annual Conference of the IEEE Industrial
Electronics Society, 2015, Yokohama. Proceedings of IECON2015 - 41st Annual Conference of
the IEEE Industrial Electronics Society., p. 1-6, 2015.
BENZI R., PARISI G., SUTERA A., VULPIANI A., “Stochastic resonance in climatic change,”
Tellus 34:10, 1982.
BERGER, T. and GIBSON, J. D. "Lossy source coding, IEEE Transactions on Information
Theory", 44, 2693–2723, 1998.
BERGLUND N. AND GENTZ B. ”A Sample-Paths Approach to Noise-Induced Synchronization:
Stochastic Resonance In A Double-Well Potential” The Annals of Applied Probability 2002, Vol.
12, No. 4, 1419–1470.
BEZRUKOV S.M.,KOSKO B."Stochastic Resonance: From Suprathreshold Stochastic Resonance
to Stochastic Signal Quantization", Cambridge University Press, 2009.
BHOSALENARAYAN N.; MANZARAMESH B.; KALEKARBHARI M.; MEHROTRA K.M.
"Performance Analysis of Filters to Wavelet for Noisy Remote Sensing Images". Proceedings of
the 49th Annual Convention of the Computer Society of India (CSI) Volume 1.DOI: 10.1007/978-3319-13728-5_30,2014.
BIOL44215. "Multidisciplinary Design of Systems and Devices for Physiology
Measurements", Course Syllabus. Acessado em 17 de Abril de 2017. Disponível em:
wiki.bio.purdue.edu/biol13100/images/4/4b/EPSP.jpg, 2016.
BLUM, R.“An Electronic System for extracellular neural stimulation and recording”,
Tese de Doutorado. GeorgiaInstitute of Technology, 2007.
BOIDO, D.; FARISELLO, P.; CESCA, F.; “ Cortico-hippocampal hyperexcitability in
synapsin I/II/III knockout mice: age-dependency and response to the antiepileptic
drug levetiracetam”. Neuroscience, v. 171, n. 1, p. 268–83. Elsevier InC, 2010.
BOWEN, G. and MANCINI, S." Noise enhancing the classical information capacity of
a quantum channel", Physics Letters A, 321, 1–5, 2004.
BOYLESTAD R. e NASHELSKY, L.“Dispositivos Eletrônicos e Teoria de Circuitos”,
8º Ed. Prentice Hall – Brasil, 2004.
CAMELLITI P.; BORG, T. K.; KOHL P."Structural and functional characterization of
cardiacfibroblasts",Cardiovascular
Research
Journal,Disponível
em:http://cardiovascres.oxfordjournals.org/content/65/1/40.
Acessado
em
13/01/2017.Oxford University Press, 2005.
CHANDRASEKHAR S., Rev. Mod. Phys. 15, 1, 1943.
CHAPEAU-BLONDEAU, F. “Input–output gains for signal in noise in stochastic
resonance”. Physics Letters A, 232, 41–48, 1997.
CHATTERJEE P., HERNQUIST L. E., LOEB A., Phys. Rev. Lett. 88, 121103,
2002.
CHIAPPALONE, M.; BOVE, M.; VATO, A.; TEDESCO, M.; MARTINOIA, S.
Dissociated cortical networks show spontaneously correlated activity patterns during
in vitro development. Brain research, v. 1093, n. 1, p. 41–53, 2006.
CHIAPPALONE, M.; VATO, A.; TEDESCO, M. (B. .; et al. Networks of neurons
coupled to microelectrode arrays: a neuronal sensory system for pharmacological
applications. Biosensors and Bioelectronics, v. 18, n. 5-6, p. 627–634, 2003.
CHIGA, KEITA; TANAKA, HIROYA, YAMAZOTO, TAKAYA; TADOKORO,
YUKIHIRO AND SHINTARO A. “Development of add-on stochastic resonance device
for the detection of subthreshold RF signals”, Nagoya University, Nolta,IEICE, 2015.
COLLINS, J.J., CHOW, C. C., e IMHOFF, T.T.” Aperiodic stochastic resonance in
excitable systems”, Physical Review E, 52, R3321–R3324, 1995.
COVER, T.M. and THOMAS, J.A. ”Elements of Information Theory”, New York: John
Wiley and Sons, 1991.
DAYAN, P. E L. F. ABBOTT.” Theoretical Neuroscience: Computacional and
Mathematical Modeling of Neural Systems”. The MIT Press, 2001.
DEMOTT, DONALD W.“An Inexpensive, Multi-Channel, Electrophisiological
Recording System”,University of Rochester, Rochester, N.V., U.S.A, 1960.
DESAI S. A, ROLSTON J. D., GUO L., e POTTER S. M. “Improving Impedance of
Implantable Microwire Multi-Electrode Arrays by Ultrasonic Electroplating of Durable
Platinum Black”, Front Neuroengineering. Front Neuroengineering Journal, vol.3, pp.
3-5, 2014.
DEWEESE, M. “Optimization principles for the neural code, Network: Computation in
Neural Systems”, 7, 325–331, 1996.
DEWEESE, M. and BIALEK, W. “Information flow in sensory neurons”, Il Nuovo
Cimento, 17, 733–741, 1995.
DRONGELEN W.V. "Signal Processing for Neuroscientists - An Introduction to the
Analysis of PhysiologicalSignals", Academic Press, USA, 2006.
DUARTE, JOSÉ RICARDO RODRIGUES. “Ressonância Estocástica Induzida por
Ruído Não Gaussiano em Modelopara a Dinâmica do Neurônio”, Dissertação de
Mestrado, Universidade Federal de Alagoas, Int.Física, 2007.
ENERGIA, IDE para programação de Microcontroladores Texas. Acessado on-line:
https://energia.nu/ em 20/07/2019, 2019.
EGERT, U.; HÄMMERLE, H. Application of the microelectrode-array (MEA)
technology in pharmaceutical drug research. (J. P. Baselt & G. Gerlach, Eds.).
Sensoren im Fokus neuer Anwendungen. w.e.b. Universitätsverlag, p. 51–54, 2002.
Dresden.
EINSTEIN A., Ann. Phys. (Leipzig) 17, 549, 1905.
FABINY L., VEMURI G., ROY R. ”Stochastic Resonance in Bistable Systems. In:
Eberly J.H., Mandel L., Wolf E. (eds) Coherence and Quantum Optics VI. Springer,
Boston, MA, 1989.
FAMBRINI, F., BARRETO, M. A.; SAITO, J. H."Data Recording, Amplification, and
Acquisition System for Microelectrode Array".IEEE 27th International Symposium on
Computer-Based Medical Systems,(CBMS 2014), pp: 411 - 416, New York, USA,
2014.
FAMBRINI F., BARRETO, M. A.; SAITO, J.H. "Low noise microelectrode array
signal headstage pre-amplifierfor in vitro
neuron
culture".
Computational
Intelligence in Bioinformatics and Computational Biology,2014 IEEE (CIBCB 2014),
Conference on pp: 1 - 6, Honolulu, Hawaii, USA, 2014.
FAMBRINI F., BARRETO, M.A.; SAITO, J.H. "Low Noise Microelectrode Array
Signal Headstage Pre-amplifier for In vitro Neuron Culture". 2014 IEEE 27th
International Symposium on Computer-BasedMedical Systems (CBMS 2014), pp.
447 - 450, New York, USA, 2014.
FAMBRINI F., BARRETO, M.A.;
SAITO , J.H."Microelectrode array signal
amplification using stochasticresonance". Industrial Electronics Society, IECON 2015
- 41st Annual Conference of the IEEE, pp: 2030 –2035, Yokohama, Japan, 2015.
FAMBRINI F.; SAITO, J. H.; CURA, L. M.D.V. "Channel Multiplexing Recording
System for MicroelectrodeArray". 42nd Annual Conference of the IEEE Industrial
Electronics Society (IECON2016), Firenze, Italy,2016.
FAUVE S. E HESLOT F. “Stochastic resonance in bistable system”. Phys. Lett.
97A:5, 1983.
FRISTON K."The free-energy principle: a unified brain theory?", Nat Rev Neurosci.
Feb;11(2):127-38. doi: 10.1038/nrn2787. Epub 2010 Jan 13, 2010.
FROMHERZ, P. “Neuroelectronic interfacing: semiconductor chips with ion channels,
nerve cells, and brain”. Nanoelectronics and information technology, p. 781–810,
2003.
GALDI, V., PIERRO, V., AND PINTO, I. M. “Evaluation of stochastic-resonancebased
detectors of weak harmonic signals in additive white Gaussian noise”. Physical
Review E, 57, 6470–6479, 1998.
GAMMAITONI L., HÄNGGI P., JUNG P., AND MARCHESONI F. "Stochastic
resonance".Rev. Mod. Phys. 70, 223 – 1 January, 1998.
GAMMAITONI L., HÄNNGI P.,MARCHESONI F. "Stochastic Resonance in Extended
Systems: An Overview of Recent Results for Systems with and without
Nonequilibrium Potential". Proc. Stochastic Resonance, Europ.Phys.J.B 69, 71,
2009.
GAO, YUXIN; WANG, FUZHONG. “Adaptive Cascaded-Bistable Stochastic
Resonance System Research and Design”.
Journal of Computational and
Theoretical Nanoscience, Volume 10, Number 2, February 2013.
GINGL Z.,KISS L.B.,MOSS F. "Non-Dynamical Stochastic Resonance: Theory and
Experiments with Whiteand Arbitrarily Coloured Noise", EPL (Europhysics Letters),
Volume 29, Number 3, 2005.
GODIVIER, X. and CHAPEAU-BLONDEAU, F." Stochastic resonance in the
information capacity of a nonlinear dynamic system", International Journal of
Bifurcation and Chaos, 8, 581–589, 1998.
GOLLISCH, T., MEISTER, M.”Eye Smarter than Scientists Believed: Neural
Computations in Circuits of the retina”. Neuron, 65, pp. 150-164, 2010.
GOMES, L. Experimental study of high frequency stochastic resonance in Chua
circuits. v.237, n.1-2, p.115-119, 2003.
GOYCHUK, I. "Information transfer with rate-modulated Poisson processes: a simple
model for nonstationary stochastic resonance", Physical Review E, 64, Art. No.
021909, 2001.
GOYCHUK, I. and HÄNGGI, P. “Quantum stochastic resonance in parallel”, New
Journal of Physics, 1, 14.1–14.14, 1999.
GROSS, G. W. “Multielectrode arrays”, Scholarpedia, 6, pp. 5749-5742, 2011.
GROSS, G. W., REISKE, E., KREUTZBERG, G.W., MAYER, A.: “A new fixed-array
multimicroelectrode system designed for long-term recording of extracellular single
unit activity in vitro ”, NeuroscienceLetter, vol. 6, pp. 101-105, 1977.
GROSS, G. The use of neuronal networks on multielectrode arrays as biosensors.
Biosensors and Bioelectronics, v. 10, n. 6-7, p. 553–567, 1991.
GUYTON, A. C. e HALL J. E. “Tratado de Fisiologia Médica”. Elsevier/Medicina
Nacionais, 2006.
HARMER G.P, DAVIS B.R. ” A Review of Stochastic Resonance: Circuits and
Measurement. IEEE Transactions on Instrumentation and Measurement”, vol. 51, no.
2, April 2002.
HOROWITZ, PAUL AND HILL, WINFIELD."The Art of Electronics", Cambridge
University Press, Inglaterra, 1989.
HUIYU SONG, XUEGUANG SHAO, QINGDE SU. “A study on the detection of weak
photoacustic signals by stochastic resonance”, Fresenius J. Anal Chem, 370:10871090, 2001.
INCHIOSA, M. E., ROBINSON, J. W. C., AND BULSARA, A.R. “Information-theoretic
stochastic resonance in noise-floor limited systems: the case for adding noise”.
Physical Review Letters, 85, 3369–3372, 2000.
ISRAEL, D. A., BARRY, W. H., EDELL, D. J., E R. M. “An array of microeletrodes to
stimulate and record from cardiac cells in culture”. American Journal of Physiology –
Heart and Circulatory Physiology,247, pp. 669-674, 1984.
JAYNES E.T. "Information Theory and Statistical Mechanics" . Department of
Physics, Stanford University, Stanford, 1957. Phys. Rev. 106, 620 – Published 15
May 1957.
JIMBO, N. K., KEIICHI T., TAKASHI T., e HUGH ROBINSON. P.C.”A System for
MEA-Based Multisite Stimulation”,IEEE Transactions on Biomedical Engineering, pp.
50, 2000.
JIMBO Y. , SAKAI K., SHIMBA K., KOTANI K. e., "Microfabricated multi-electrode
device for detecting oligodendrocyte-regulated changes in axonal conduction
velocity," 37th Annual International Conference of the IEEE Engineering in Medicine
and Biology Society (EMBC), Milan, pp. 7127-7130, 2015.
JOBLING,T.J."An Active Microelectrode Array to Detect Extracellular Nervous
Activity”. Editora University of Southampton, Estados Unidos, 1980.
JONES, IAN L. et al. “A Method for Electrophysiological Characterization of Hamster
Retinal Ganglion Cells Using a High-Density CMOS Microelectrode Array.” Frontiers
in Neuroscience 9 (2015): 360. PMC. Web. 3 Sept. 2017.
JUNQUEIRA L.C.; CARNEIRO, J. “Histologia Básica”, 10ª ed., Ed. Guanabara
Koogan, Rio de Janeiro, 2004.
KANDEL E. R.; SCHWARTZ, J. H.; JESSEL, T. “Fundamentos da neurociência e do
comportamento”.Editora Guanabara Koogan, Rio de Janeiro, 2000.
KISH, L.B., HARMER, G.P. and ABBOTT, D. “ Information transfer rate of neurons:
stochastic resonance of Shannon’s information channel capacity, Fluctuation and
Noise”. Letters, 1, L13–L19, 2001.
KLISCH, C., INYUSHKIN, A., MORDEL, J., KARNAS, D., PÉVET, P., MEISSL, H.:
OREXIN A. “A Modulates neuronal activity of the rodent suprachiasmatic nucleus in
vitro ”, European Journal of Neuroscience, 30, pp. 65-75, 2009.
LABCENTER [Online]. Disponivel: http://www.labcenter.com/index.cfm. Acessado
em 15 de Fevereiro de 2013.
LANGEVIN, "Chapter 6 - Brownian Motion: Langevin Equation".
http://physics.gu.se/~frtbm/joomla/media/mydocs/LennartSjogren/kap6.pdf
Consultado on-line em 05/03/2019.
LENG Y.G., WANG T.Y., GUO Y., e WU Z.Y., “Study of the property of the
parameters of bistable stochastic resonance,” Acta Physica Sinica, vol. 56, no. 1, pp.
30–35, 2007.
LEFEVRE G.R., KOWALSKI S.E., GIRLING L.G., THIESSEN D.B., MUTCH W.A.
"Improved arterial oxygenation after oleic acid lung injury in the pig using a computercontrolled mechanical ventilator”. Am J Respir Crit Care Med;154(5):1567–1572,
1996.
LEVIN, J. E. and MILLER, J. P. “Broadband neural encoding in the cricket cercal
sensory system enhanced by stochastic resonance”. Nature, 380, 165–168, 1996.
LEWANDOWSKA M.K., BAKKUM D.J., ROMPANI SB, HIERLEMANN A. “Recording
Large Extracellular spikes in Microchannels along Many Axonal Sites from Individual
Neurons”. PLoS ONE 10(3): e0118514.https://doi.org/10.1371/journal.pone.0118514,
2015.
MACNAMARA B., WIENSEFELD K. e ROY R. “Phys. Rev Lett.” 60,2626, 1988.
MAHER M. P., J. PINE, J. WRIGHT, Y.C. TAI. “The neurochip: a new multielectrode
device for stimulating andrecording from cultured neurons” ,J. Neurosci. Methods
vol. 87,pp. 45–56, 1999.
MAKRA P., GINGL Z., e FULEI T. "Signal-to-noise ratio gain in stochastic resonators
driven by colored noises", Proc. SPIE 5471, Noise in Complex Systems and
Stochastic Dynamics II; doi: 10.1117/12.547102; https://doi.org/10.1117/12.547102,
2004.
MAROM, S.; SHAHAF, G. “Development, learning and memory in large random
networks of cortical neurons: lessons beyond anatomy”. Quarterly reviews of
biophysics, v. 35, n. 1, p. 63–87, 2002.
MARTINOIA S., MASSOBRIO P., BOVE M., MASSOBRIO G. “Cultured neurons
coupled to microelectrode arrays: circuit models, simulations and experimental data”
,IEEE Trans. Biomed. Eng. 51, 2004.
MARTINOIA S., MASSOBRIO P. "Interfacing Cultured Neurons to Microtransducers
Arrays: A Review of the Neuro-Electronic Junction Models", Frontiers in
Neuroscience · June, 2015.
MASSOBRIO P., GIUSEPPE AND MARTINOIA S. “Multi-program approach for
simulating recorded extracellularsignalsgenerated by neurons coupled to
microelectrode arrays”. Department of Biophysical and Electronic Engineering
(DIBE), University of Genova, Neurocomputing vol.70, 2004.
MEABENCH .
http://www.its.caltech.edu/~pinelab/wagenaar/meabench.html.Acessado em 15 de
Fevereiro de 2019. Disponivel desde 2013.
MCDONNELL M.D., D. ABBOTT, C.E.M.PEARCE, "A characterization of
suprathreshold stochastic resonance inan array of comparators by correlation
coefficient", Fluctuation and Noise Letters, vol.2, N.3, pp.213-228,2002.
MCDONNELL M. D., N.G.STOCKS, C.E.M.PEARCE, D.Abbott, Stochastic
Resonance: From SuprathresholdStochastic Resonance to Stochastic Signal
Quantization. New York, Cambridge Un. Press, 2008.
MCDONNELL M.D., D.ABBOTT, "What is stochastic resonance? Definitions,
Misconceptions, Debates, and itsRelevance to Biology", PLOS Computational
Biology, vol.5, N.5, pp.1-9, 2009.
MCRACK[Online].Disponível:http://www.ncbi.nlm.nih.gov/pmc/articles/PMC2570177/.
Acessado em 15 de Fevereiro de 2019. Disponivel desde 2013.
MCS [Online]. Innovations in Electrophysiology, Multi Channel Systems. Disponível:
www.multichannelsystems.com.Acessado em 15 de Fevereiro de 2015.
MCNAMARA B., WIESENFELD W., “Theory of stochastic resonance,” Phys. Rev. A.
39:4854,1989.
MED64 [Online]. Alpha MED. Disponível:www.med64.com.Acessado em 15 de
Fevereiro de 2013.
MEISTER, M., PINE, J., BAYLOR, D.A. ”Multielectrode recording from the vertebrate
retina” , Invest.Ophthalmol.Vis., 30, 1989, (suppl.), pp. 68.
MEISTER, M., PINE, J., BAYLOR, D. A. 1994: Multi-neural signals from the retina
acquisition and analysis,Neurosci. Meth., 51, pp. 95-106.
MEISTER, M., WONG, R. O., BAYLOR, D. A., Schatz, C. J.”Synchronous bursts of
action potentials in ganglioncells of the developing mammalian retina”, Science, 252,
pp. 939-943, 1991.
MICROCHIP, datasheet - Disponível emhttp://www.mouser.com/ds/2/268/70286C
38953.pdf. Acessado em 13/01/2019.Disponível desde 2010.
MOLINA-LUNA K, PEKANOVIC A, RÖHRICH S, HERTLER B, SCHUBRING-GIESE
M, et al., Dopamine in MotorCortex Is Necessary for Skill Learning and Synaptic
Plasticity. PLoS ONE 4(9): e7082. doi:10.1371/journal.pone.0007082, 2009.
MORIN, F. O.; TAKAMURA, Y.; TAMIYA, E. “Investigating neuronal activity with
planar microelectrode arrays: achievements and new perspectives”. Journal of
bioscience and bioengineering, v. 100, n. 2, p. 131–43, 2005.
MORSE, R. P. and EVANS, E. F. “Enhancement of vowel coding for cochlear
implants by addition of noise”. Nature Medicine, 2, 928–932, 1996.
MOSS, F. and MILTON, J. G. “Balancing the unbalanced”, Nature, 425, 911–912,
2003.
MOYES C., MENGXIANG J [Online]:
http://people.ece.cornell.edu/land/courses/ece4760/FinalProjects/s2012/cwm55/cwm
55_mj294/index.html. Acessado em Fevereiro de 2019.
MULTISIM, Software para simulação de circuitos eletrônicos. Disponível através do
site: http://www.ni.com/multisim/pt/. Disponível on-line e acessado em 15/03/2015.
NEIMAN A. and SCHIMANSKY-GEIER L. “Stochastic resonance in bistable
systemsdriven by harmonic noise”. Physical Review Letters, 72, 2988–2991, 1996.
NEURORIGTHER.Software disponível em https://sites.google.com/site/neurorighter/.
Acessado em 15 de Fevereiro de 2019. Data do artigo original: 2005.
NICOLELIS M.; CHAPIN J.K.; MOXON K.A.; MARKOWITZ R.A. "Real-time control of
a robot arm using simultaneously recorded neurons in the motor cortex", Nature
Neuroscience 2, 664 – 670, 1999.
NUSSENSVEIG M. “Curso de Física Básica”, Vol. 1, Mecânica, Ed. Blucher, 2013.
OTT, H. W. “Noise Reduction Techniques in Electronic Systems”, Wiley Editions,
Inglaterra, 1988.
PASTORE V.P.; MASSOBRIO P.; GODJOSKI A.; MARTINOIA S. "Identification of
excitatory-inhibitory links and network topology in large-scale neuronal assemblies
from multi-electrode recordings". Journal.pcbi.1006381, PLoS Computational Biology
14(8):e1006381, DOI: 10.1371/
August 2018.
PERRIN, J. “Les Atomes”. França, Nouveau Monde, 2012.
PGA.
“Microchip
Programmable
Gain
Amplifiers”.
Disponível
online:
http://www.microchip.com/wwwproducts/en/MCP6S28, Acessado em 17/04/2019.
PINE, J.”Recording action potentials from cultured neurons with extracellular
microcircuit electrodes”, Journal of Neuroscience Methodology, n.2, pp. 19-31, 1980.
POTTER, S. M. E DEMARSE, T. B. “A new approach to neural cell culture for longterm studies”.Journal of Neuroscience Methods, 110(1-2): pp. 17-24, 2001.
POTTER M.,WAGENAAR D, DEMARSE T.B., BLAUSTEVE A., "The Neurally
Controlled Animat: Biological Brains Acting with Simulated Bodies".November 2006,
Volume 11, Issue 3, pp 305–310, 2006.
PURVES, D. et al . ”Neurociências”.Editora Artmed, 4 ed., Porto Alegre, 2010.
PUTTY, Acessado on-line em:
https://www.chiark.greenend.org.uk/~sgtatham/putty/latest.html, 2019.
PUZZI A.T. e BARJA P.R. "Níveis de ruído em unidades de terapia intensiva".
Revista SODEBRAS, Volume 11, N° 124, Abril, 2016
QIBING SUN, HONGJUN LIU, NAN HUANG, ZHAOLU WANG, JING HAN e
SHAOPENG LI.”Nonlinear restoration of pulse and high noisy images via stochastic
resonance”, Scientific Reports 5, Article number: 16183, 2015.
REGALIA, G.; BIFFI, E.; FERRIGNO, G.; PEDROCCHI, A. "A Low-Noise, Modular,
and Versatile Analog FrontEnd Intended for Processing In vitro Neuronal Signals
Detected by Microelectrode Arrays". ComputationalIntelligence and Neuroscience,
Volume 2, Article ID 172396, 2015.
ROBINSON, J. W. C., ASRAF, D. E., BULSARA, A. R., AND INCHIOSA, M.
E.”Information-theoretic distance measures and a generalization of stochastic
resonance”. Physical Review Letters, 81, 2850–2853, 1998.
RODRIGUEZ, M.. Z. e SAITO J.H. “Análise evolutivo e modelado da dinâmica de
interação de cultura de neurônios de tecido cortical in vitro numa matriz de
microeletrodos”. Dissertação de Mestrado, UFSCar, 2012.
RODRIGUEZ, E. R. L. e SAITO J.H. “Mapeamento topológico virtual de neurônios
proporcional às atividadeseletrofisiológicas em matrizes de microeletrodos”, Tese de
Doutorado, UFSCar, São Carlos, 2015.
ROLSTON, J.D, GROSS R. E. e POTTER S. M. “A low-cost multielectrode system
for data acquisition enabling real-time closed-loop processing with rapid recovery
from stimulation artifacts”. IEEE Transactions on Biomedical Engineering, 53(4), pp.
194-199, 2003.
RUTTEN W., MOUVEROUX J.M., BUITENWEG J., H., RUARDIJ, MARANI
J.,LAKKE, E.“Neuro-electronic interfacing with cultured multi electrode arrays toward
a cultured probe”. IEEE Transactions of Biomedical Engineering, vol.51, n.5, pp.
1013-1029, 2001.
SAITO J.H., MARI, J.F., PEDRINO E., DESTRO F. J.B., NICOLETTI M.C.”Simulated
Activation Patterns of Biological Neurons Cultured onto a Multi-Electrode Array
Based on a Modified Izhikevich’s Model”. Fundamenta Informaticae XXI (1), vol. 124,
pp. 111-132, IOS Impress, 2013.
SEABRA, C. A. “Estudo de Resistes Amplificados Quimicamente e Sililação em
Litografia por Feixe de Elétrons”. Dissertação de Mestrado, Escola Politécnica, USP,
São Paulo, 1997.
SCHNITZER M.J.,MEISTER M."Multineuronal Firing Patterns in the Signal from Eye
to Brain".Neuron Volume 37, Issue 3, 6 February 2003, pp. 499-511.
SCHUCHMAN L. "Dither Signals and Their Effect on Quantization Noise". IEEE
Trans. Commun. 12 (4): 162–165. doi:10.1109/TCOM.1964.1088973.December,
1964.
SARDI S.; VARDI R.; SHEININ A.; GOLDENTAL A. E KANTER I. "New Types of
Experiments Reveal that a Neuron Functions as Multiple Independent Threshold
Units". Scientific Reports, volume 7, Article number: 18036, 2017.
STERZA R.L.; BRANDI A.C. "Comparação entre métodos numéricos: Runge-Kutta
de quarta ordem e previsor-corretor". ISSN 2316-9664, Volume 7,Edição ERMAC,
dez. 2016.
SÖDERLUND, G.; SVERKER S.; ANDREW S. “Listen to the noise: Noise is
beneficial for cognitive performance in ADHD”. Journal of Child Psychology and
Psychiatry. 48 (8): 840–847, 2007.
SÖDERLUND, G.; SVERKER S.; LOFTESNES J.; EDMUND S. B. “The effects of
background white noise on memory performance in inattentive school children”.
Behavioral and Brain Functions, 2010.
SOUSSOU W., HOLMIEH G., HAN M., AHUJA, A., SONG D., HSIAO M. C., WANG,
Z, TANGUAY, A.R. E BERGER, T. W. ”Mapping Spatio-Temporal
Electrophysiological Activity in Hippocampal Slices with Conformal Planar Multi-
Electrode Arrays”. In Taketani, M. and Baudry, M. editors, Springer US,Advances in
Network Electrophysiology, pp. 127-152, 2006.
SPANU A.; TEDESCO M.T.; MARTINES L., BONFIGLIO A.; MARTINOIA S. "An
organic neurophysiological tool for neuronal metabolic activity monitoring".APL
Bioengineering 2, 046105 (2018); https://doi.org/10.1063/1.5050170, November
2018.
STETT, A., EGERT, U., GUENTER, E., HOFMANN, F. MEYER, T., NISCH, W. AND
MAEMMERLE, H. “Biological application of microelectrode arrays in drug discovery
and basic research. Analytical and Bioanalytical Chemistry”, 377, pp. 486-495, 2003.
STEGENGA, J.; FEBER, J. LE; MARANI, E.; RUTTEN, W. L. C. Analysis of cultured
neuronal networks using intraburst firing characteristics. IEEE transactions on biomedical engineering, v. 55, n. 4, p. 1382–90, 2008.
STOCKS, N. G., "Suprathreshold stochastic resonance in multilevel threshold
systems," Physical ReviewLetters, vol., 84, pp. 2310–2313, 2000.
SYSTEMS. Website: https://www.multichannelsystems.com/, Consultado on-line em
4 de Agosto de 2015.
TAKETATANI M., BAUDRY M.“Advances in Network Electrophysiology”, Editora
Springers, US, 2003.
TEXAS INSTRUMENTS, "A single-Supply Op-Amp Circuit Collection," 2000 Disponível em: http://www.ti.com/lit/an/sloa064/sloa064.pdf.
Acessado em
13/01/2017.
THOMAS, C. A., SPRINGER, P. A., LOEB, G. W., BERWALD-NETTER, Y., OKUN,
L. M.”A miniature microelectrode array to monitor the bioelectric activity of cultured
cells”, Experimental Cell Research, vol. 74, pp. 61-66, 1972.
TINA [Online]. Disponível: http://www.ti.com/tool/tina-ti. Acessado em 15 de
Fevereiro de 2013.
TIVA, Launchpad. Disponível em: http://www.ti.com/tool/EK-TM4C123GXL, 2012.
UFJF. "Princípios de Espectrofotômetria", Disponível em:
http://www.ufjf.br/quimica/files/2016/08/Espectrometria-UV-vis.pdf, 2016.
VAN DEN POL, A. N., FINKBEINER, S. M., AND CORNELI-BELL, A. H. “Calcium
excitability and oscillations in suprachiasmatic nucleus neurons and glia in vitro”. J.
Neurosci. 12, 2648-2664, 1992.
VALADARES, A.A. et al. “Receiver operating characteristic (ROC) curve for
classification of 18F-NaF uptake on PET/CT “. Radiol Bras, São Paulo , v. 49, n. 1,
p. 12-16, Feb. 2016 .
WAGENAAR D. A. e S. M. “Real-time multi-channel stimulus artifact suppression by
localcurve fitting”. J. Neurosci.Methods, n.120,pp. 113-120, 2002.
WAGENAAR D. A., PINE J., and POTTER S. M.“Effective parameters for stimulation
of dissociated cultures using multi-electrode arrays”, J. Neurosci.Methods, n.120, pp.
122-128, 2004.
WAGENAAR, D. A. A e POTTER S. M. “A versatile all-channel stimulator for
electrode arrays, with real-time control”, J. Neural Eng. 1, pp. 39-44, 2005.
WAGENAAR, D.;DEMARSE, T.B.; POTTER, STEVE M.”MeaBench: A toolset for
multi-electrode data acquisition and on-line analysis”, Caltech, Dept. of Physics,
Pasadena,USA, 2002.
WAGENAAR D.; MADHAVAN R.; PINE J.; POTTER S.M.” Controlling Bursting in
Cortical Cultures with Closed-Loop Multi-Electrode Stimulation”. J Neurosci. Jan
19; 25(3): 680–688. doi: 10.1523/JNEUROSCI.4209-04.2005 PMCID: PMC2663856,
2005.
WELSH D.K., DIOMEDES L., MEISTER M.A. e REPPERT S.M. "Individual Neurons
Dissociated from Rat Suprachiasmatic Nucleus Express Independently Phased
Circadian Firing Rhythms". Neuron, Vol. 14, 697-706, April, 1995.
WHEELER, B. C., NOVAK, J. L.,”Current source density estimation using
microelectrode array data from the hippocampal slice preparation”, IEEE
Transactions on Biomedical Engineering, 33, 1986.
WHITSON, J., KUBOTA, D., SHIMONO, K., JIA, Y. E TAKETANI, M. “Multi-electrode
arrays: Enhancing traditional methods and enabling network physiology”, J.
Neurosci.Methods 7, pp. 52-58, 2006.
XICOR,
“Datasheet
do
potenciômetro
digital
https://www.renesas.com/eu/en/products/data-converters/digitalpotentiometers/dcp/device/X9313.html. Consultado on-line em 05/05/2019.
X9313.
YAN G.; XIAO L. “Simulation of weak signal detection based on stochastic
resonance”. ISBN 978-952-5726-11-4. Proceedings of the Third International
Symposium on Electronic Commerce and Security Workshops (ISECS ’10)
Guangzhou, P. R. China, 29-31, pp. 329-331, 2010 .
ZANGEROLAME, F. M. “Monitoramento analógico e digital de sinais elétricos
cerebrais”. Dissertação de Mestrado, Cefet-RJ, Rio de Janeiro, 2009.
ZIEGLER, C. “Cell-based biosensors”. Fresenius J. Anal. Chem., n. 366, pp. 552559, 2000.
ZOZOR S. e AMBLARD P. O. “On the use of stochastic resonance in sine
detection,
Signal Processing”, Phys. Review 82, 353–367, 2002.
Apêndice A
DIAGRAMA PRÈ-AMPLIFICADOR
Apêndice B
CAPÍTULO 9 -
DIAGRAMA ELÉTRICO SOQUETE
Apêndice C
CAPÍTULO 10 -
DIAGRAMA FONTE DE ALIMENTAÇÃO
Apêndice D
CAPÍTULO 11 -
DESENHO MECÂNICO SOQUETE MEA
Apêndice E
CAPÍTULO 12 -
DESENHO MECÂNICO TAMPA DO
SOQUETE
Apêndice F
CAPÍTULO 13 -
DESENHO SOQUETE (INTERNO)
Apêndice G
CAPÍTULO 14 -
DIAGRAMA ELETRÔNICO MEASIM
Apêndice H
CAPÍTULO 15 -
DIAGRAMAS E PLACA AMPLIFICADOR
Apêndice I
CAPÍTULO 16 -
CÓDIGO-FONTE EM VHDL
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity spi is
port(
cristalE: in std_logic;
clockMCP: inout std_logic;
datainMCP: out std_logic;
csMCP: inout std_logic;
dataoutMCP1: in std_logic;
dataoutMCP2: in std_logic;
dataoutMCP3: in std_logic;
dataoutMCP4: in std_logic;
dataoutMCP5: in std_logic;
dataoutMCP6: in std_logic;
dataoutMCP7: in std_logic;
dataoutMCP8: in std_logic;
clockSPI: inout std_logic;
datainSPI: out std_logic;
dataoutSPI: inout std_logic;
csSPI: in std_logic);
end entity;
architecture comportamento of spi is
type SAMPLE is array(0 to 3) of std_logic_vector(0 to 99);
shared variable DOUT: std_logic_vector(1 to 400);
shared variable amostra: SAMPLE;
begin
process(cristalE)
variable pulse: integer := 0;
variable achannel: std_logic_vector(0 to 2);
variable channel: integer := 0;
variable snum: integer := 0;
variable clock: integer := 0;
variable ad0: std_logic_vector(0 to 11);
variable ad1: std_logic_vector(0 to 11);
variable ad2: std_logic_vector(0 to 11);
variable ad3: std_logic_vector(0 to 11);
variable ad4: std_logic_vector(0 to 11);
variable ad5: std_logic_vector(0 to 11);
variable ad6: std_logic_vector(0 to 11);
variable ad7: std_logic_vector(0 to 11);
begin
if rising_edge(cristalE) then
clock := clock + 1;
if clock = 2 then
clockMCP <= not clockMCP;
clock := 0;
end if;
pulse := pulse + 1;
achannel := conv_std_logic_vector(channel, 3);
if pulse = 4 then
csMCP <= '0';
datainMCP <= '1'; --start bit
elsif pulse = 8 then
datainMCP <= '1'; -- single/diff
elsif pulse = 12 then
datainMCP <= achannel(0); --address D2
elsif pulse = 16 then
datainMCP <= achannel(1); --address D1
elsif pulse = 20 then
datainMCP <= achannel(2); --address D0
elsif pulse = 24 then
datainMCP <= '0'; --dont care start
elsif pulse = 32 then
ad0(11) := dataoutMCP1;
ad1(11) := dataoutMCP2;
ad2(11) := dataoutMCP3;
ad3(11) := dataoutMCP4;
ad4(11) := dataoutMCP5;
ad5(11) := dataoutMCP6;
ad6(11) := dataoutMCP7;
ad7(11) := dataoutMCP8;
elsif pulse = 36 then
ad0(10) := dataoutMCP1;
ad1(10) := dataoutMCP2;
ad2(10) := dataoutMCP3;
ad3(10) := dataoutMCP4;
ad4(10) := dataoutMCP5;
ad5(10) := dataoutMCP6;
ad6(10) := dataoutMCP7;
ad7(10) := dataoutMCP8;
elsif pulse = 40 then
ad0(9) := dataoutMCP1;
ad1(9) := dataoutMCP2;
ad2(9) := dataoutMCP3;
ad3(9) := dataoutMCP4;
ad4(9) := dataoutMCP5;
ad5(9) := dataoutMCP6;
ad6(9) := dataoutMCP7;
ad7(9) := dataoutMCP8;
elsif pulse = 44 then
ad0(8) := dataoutMCP1;
ad1(8) := dataoutMCP2;
ad2(8) := dataoutMCP3;
ad3(8) := dataoutMCP4;
ad4(8) := dataoutMCP5;
ad5(8) := dataoutMCP6;
ad6(8) := dataoutMCP7;
ad7(8) := dataoutMCP8;
elsif pulse = 48 then
ad0(7) := dataoutMCP1;
ad1(7) := dataoutMCP2;
ad2(7) := dataoutMCP3;
ad3(7) := dataoutMCP4;
ad4(7) := dataoutMCP5;
ad5(7) := dataoutMCP6;
ad6(7) := dataoutMCP7;
ad7(7) := dataoutMCP8;
elsif pulse = 52 then
ad0(6) := dataoutMCP1;
ad1(6) := dataoutMCP2;
ad2(6) := dataoutMCP3;
ad3(6) := dataoutMCP4;
ad4(6) := dataoutMCP5;
ad5(6) := dataoutMCP6;
ad6(6) := dataoutMCP7;
ad7(6) := dataoutMCP8;
elsif pulse = 56 then
ad0(5) := dataoutMCP1;
ad1(5) := dataoutMCP2;
ad2(5) := dataoutMCP3;
ad3(5) := dataoutMCP4;
ad4(5) := dataoutMCP5;
ad5(5) := dataoutMCP6;
ad6(5) := dataoutMCP7;
ad7(5) := dataoutMCP8;
elsif pulse = 60 then
ad0(4) := dataoutMCP1;
ad1(4) := dataoutMCP2;
ad2(4) := dataoutMCP3;
ad3(4) := dataoutMCP4;
ad4(4) := dataoutMCP5;
ad5(4) := dataoutMCP6;
ad6(4) := dataoutMCP7;
ad7(4) := dataoutMCP8;
elsif pulse = 64 then
ad0(3) := dataoutMCP1;
ad1(3) := dataoutMCP2;
ad2(3) := dataoutMCP3;
ad3(3) := dataoutMCP4;
ad4(3) := dataoutMCP5;
ad5(3) := dataoutMCP6;
ad6(3) := dataoutMCP7;
ad7(3) := dataoutMCP8;
elsif pulse = 68 then
ad0(2) := dataoutMCP1;
ad1(2) := dataoutMCP2;
ad2(2) := dataoutMCP3;
ad3(2) := dataoutMCP4;
ad4(2) := dataoutMCP5;
ad5(2) := dataoutMCP6;
ad6(2) := dataoutMCP7;
ad7(2) := dataoutMCP8;
elsif pulse = 72 then
ad0(1) := dataoutMCP1;
ad1(1) := dataoutMCP2;
ad2(1) := dataoutMCP3;
ad3(1) := dataoutMCP4;
ad4(1) := dataoutMCP5;
ad5(1) := dataoutMCP6;
ad6(1) := dataoutMCP7;
ad7(1) := dataoutMCP8;
elsif pulse = 76 then
ad0(0) := dataoutMCP1;
ad1(0) := dataoutMCP2;
ad2(0) := dataoutMCP3;
ad3(0) := dataoutMCP4;
ad4(0) := dataoutMCP5;
ad5(0) := dataoutMCP6;
ad6(0) := dataoutMCP7;
ad7(0) := dataoutMCP8;
amostra(snum) := ad0 & ad1 & ad2 & ad3 & ad4 & ad5
& ad6 & ad7 & '0' & conv_std_logic_vector(channel, 3);
elsif pulse = 80 then
pulse := 0;
channel := channel + 1;
snum := snum + 1;
if channel = 8 then
channel := 0;
end if;
if snum = 4 then
snum := 0;
DOUT := amostra(0) & amostra(1) & amostra(2)
& amostra(3);
dataoutSPI <= not dataoutSPI;
end if;
csMCP <= '1';
end if;
end if;
end process;
process(clockSPI, csSPI)
variable tick:integer := 0;
begin
if (csSPI = '1') then
tick := 0;
elsif falling_edge(clockSPI) then
tick := tick + 1;
for I in 1 to 400 loop
if (tick = I) then
datainSPI <= DOUT(I);
end if;
end loop;
end if;
end process;
end architecture;
Apêndice J
CAPÍTULO 17 -
CÓDIGO-FONTE ORANGE PI
#include <stdint.h>
#include <unistd.h>
#include <stdio.h>
#include <stdlib.h>
#include <getopt.h>
#include <fcntl.h>
#include <sys/ioctl.h>
#include <sys/times.h>
#include <linux/types.h>
#include <linux/spi/spidev.h>
#include <wiringPi.h>
#define ARRAY_SIZE(a) (sizeof(a) / sizeof((a)[0]))
static const char *device = "/dev/spidev0.0";
static uint8_t mode = 2;
static uint8_t bits = 8;
static uint32_t speed = 32000000;
static void pabort(const char *s) {
perror(s);
abort();
}
#define BUFFER_READY 26
#define TEST 4
int ret = 0;
int fd;
char buf[50];
int br = -1;
static uint8_t lv;
static uint32_t breakfile, i;
static char filename[256];
int main(int argc, char** argv) {
wiringPiSetup () ;
pinMode (BUFFER_READY, INPUT) ;
pinMode (TEST, OUTPUT) ;
fd = open(device, O_RDWR); //read write
if (fd < 0) {
printf("can't open device");
return -1;
}
ret = ioctl(fd, SPI_IOC_WR_MAX_SPEED_HZ, &speed);
if (ret == -1) {
printf("can't open device");
return -1;
}
FILE *f = fopen("record/rec(0).txt", "w");
if (f == NULL)
{
printf("Error opening file!\n");
return -1;
}
printf("spi mode: %d\n", mode);
printf("bits per word: %d\n", bits);
printf("max speed: %d Hz (%d MHz)\n", speed, speed / 1000000);
if (ioctl(fd, SPI_IOC_WR_MODE, &mode) == -1) pabort("Can't set SPI mode");
for(;;){
lv = digitalRead(BUFFER_READY);
digitalWrite(TEST,lv);
if(lv != br){
br = lv;
if (read(fd, buf, ARRAY_SIZE(buf)) != ARRAY_SIZE(buf)){
perror("SPI read Error");
close(fd);
}
fprintf(f,"%02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X
%02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X
%02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X
%02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X %02X
\r\n",buf[0],buf[1],buf[2],buf[3],buf[4],buf[5],buf[6],buf[7],buf[8],buf[9],buf[10],buf[11],buf[12]
,buf[13],buf[14],buf[15],buf[16],buf[17],buf[18],buf[19],buf[20],buf[21],buf[22],buf[23],buf[2
4],buf[25],buf[26],buf[27],buf[28],buf[29],buf[30],buf[31],buf[32],buf[33],buf[34],buf[35],buf[
36],buf[37],buf[38],buf[39],buf[40],buf[41],buf[42],buf[43],buf[44],buf[45],buf[46],buf[47],bu
f[48],buf[49]);
i++;
if(i == 144000){
i = 0;
fclose(f);
breakfile++;
sprintf(filename,"record/rec(%d).txt",breakfile);
FILE *f = fopen(filename, "w");
}
}
}
close(fd);
return ret;
}
Apêndice K
CAPÍTULO 18 -
CÓDIGO-FONTE PLACA TIVA
(REAC)
int inc[4] = {4,6,8,10};
int ctl[4] = {5,7,9,11};
int mem[4] = {0,0,0,0};
String str = "";
bool usead = 0;
void pulse(int chip, bool cmd){
int a = inc[chip];
int b = ctl[chip];
digitalWrite(b,cmd);
digitalWrite(a,HIGH);
delayMicroseconds(10);
digitalWrite(a,LOW);
delayMicroseconds(10);
}
void set_mem(int chip, bool cmd){
if(cmd){
mem[chip] += 1;
}
else{
mem[chip] -= 1;
}
if (mem[chip] < 0) mem[chip] = 0;
if (mem[chip] > 31) mem[chip] = 31;
}
void setup(){
int i, j;
Serial.begin(115200);
pinMode(4,OUTPUT); // INC
pinMode(5,OUTPUT); // UP/DOWN
pinMode(6,OUTPUT); // INC
pinMode(7,OUTPUT); // UP/DOWN
pinMode(8,OUTPUT); // INC
pinMode(9,OUTPUT); // UP/DOWN
pinMode(10,OUTPUT); // INC
pinMode(11,OUTPUT); // UP/DOWN
pinMode(12,OUTPUT); // RELE
pinMode(13,OUTPUT); // ONBOARD LED
digitalWrite(4,HIGH);
digitalWrite(6,HIGH);
digitalWrite(8,HIGH);
digitalWrite(10,HIGH);
digitalWrite(5,HIGH);
digitalWrite(7,HIGH);
digitalWrite(9,HIGH);
digitalWrite(11,HIGH);
digitalWrite(12,LOW);
digitalWrite(13,LOW);
for(i=0;i<4;i++){
for(j=0;j<32;j++){
pulse(i,LOW);
}
}
delay(2000);
Serial.print("#Start$");
}// void setup()
void(* resetFunc)(void) = 0;
void loop(){
char a;
int i, j;
int ch, val, diff, k, adval;
while (Serial.available() > 0) {
a = Serial.read();
if(a == '\n'){
//Serial.print("Texto = ");
//Serial.println(str);
ch = str.substring(0,1).toInt();
val = str.substring(2,4).toInt();
if (str.length() > 2){
//Serial.print("#");
//Serial.print("Ch = ");
//Serial.println(ch, DEC);
//Serial.print("Val = ");
//Serial.println(val, DEC);
if ((val < 0) || (val > 31)){
//Serial.println("Invalid Value");
}
else if((ch < 0) || (ch > 3)){
//Serial.println("Invalid Channel");
}
else{
diff = val - mem[ch];
//Serial.print("Diff = ");
//Serial.println(diff, DEC);
if (diff > 0){
for(k = 0; k < diff; k++){
pulse(ch,HIGH);
set_mem(ch,HIGH);
//Serial.print("H");
}
}
else if(diff < 0){
for(k = diff; k < 0; k++){
pulse(ch,LOW);
set_mem(ch,LOW);
//Serial.print("L");
}
}
//Serial.println("");
//Serial.print("MEM = ");
//Serial.println(mem[ch], DEC);
}
//Serial.print("$");
}
str = "";
}
else if(a == 'A'){
usead = 1;
}
else if(a == 'S'){
usead = 0;
}
else if(a == 'R'){
for(i=0;i<4;i++){
for(j=0;j<32;j++){
pulse(i,LOW);
}
}
}
else if(a == 'L'){
digitalWrite(12,HIGH);
digitalWrite(13,HIGH);
}
else if(a == 'D'){
digitalWrite(12,LOW);
digitalWrite(13,LOW);
}
else if(a == '\r'){
//nada
}
else{
str.concat(a);
}
}
if(usead){
adval = analogRead(A0);
Serial.print("#");
Serial.print(adval, DEC);
Serial.print("$");
}
}// void loop()
Apêndice L
CAPÍTULO 19 -
DIAGRAMA ELETRÔNICO REAC
Apêndice M
CAPÍTULO 20 -
PLACA SUBSISTEMA REAC
Apêndice N
CAPÍTULO 21 -
CÓDIGO-FONTE DELPHI (REAC)
unit Unit1;
interface
uses
Windows, Messages, SysUtils, Variants, Classes, Graphics, Controls, Forms,
Dialogs, CPortCtl, StdCtrls, CPort, ExtCtrls, TeEngine, Series, TeeProcs,
Chart, Spin, XPMan, ComCtrls, Math;
type
TForm1 = class(TForm)
ComPort1: TComPort;
ComDataPacket1: TComDataPacket;
Button2: TButton;
ComLed1: TComLed;
Timer1: TTimer;
Chart1: TChart;
Series1: TFastLineSeries;
Timer2: TTimer;
SpinEdit1: TSpinEdit;
XPManifest1: TXPManifest;
Button3: TButton;
Bevel1: TBevel;
StatusBar1: TStatusBar;
Label1: TLabel;
Button4: TButton;
Button5: TButton;
Panel1: TPanel;
TrackBar1: TTrackBar;
SpinEdit2: TSpinEdit;
Panel2: TPanel;
TrackBar2: TTrackBar;
SpinEdit3: TSpinEdit;
Panel3: TPanel;
Panel4: TPanel;
Label2: TLabel;
Label3: TLabel;
Label4: TLabel;
Label5: TLabel;
Button1: TButton;
Edit1: TEdit;
Bevel2: TBevel;
Label6: TLabel;
Button6: TButton;
Button7: TButton;
Button8: TButton;
ck1: TEdit;
l0: TLabel;
l1: TLabel;
Label7: TLabel;
Label8: TLabel;
Label9: TLabel;
Label10: TLabel;
Label11: TLabel;
Label12: TLabel;
ck2: TEdit;
Label13: TLabel;
ck4: TEdit;
ck3: TEdit;
Label14: TLabel;
TrackBar3: TTrackBar;
SpinEdit4: TSpinEdit;
TrackBar4: TTrackBar;
SpinEdit5: TSpinEdit;
l2: TLabel;
l3: TLabel;
procedure Button2Click(Sender: TObject);
procedure ComDataPacket1Packet(Sender: TObject; const Str: string);
procedure Timer1Timer(Sender: TObject);
procedure Timer2Timer(Sender: TObject);
procedure SpinEdit1Change(Sender: TObject);
procedure Button3Click(Sender: TObject);
procedure Button4Click(Sender: TObject);
procedure Button5Click(Sender: TObject);
procedure TrackBar1Change(Sender: TObject);
procedure TrackBar2Change(Sender: TObject);
procedure TrackBar3Change(Sender: TObject);
procedure TrackBar4Change(Sender: TObject);
procedure SpinEdit2Change(Sender: TObject);
procedure SpinEdit3Change(Sender: TObject);
procedure SpinEdit4Change(Sender: TObject);
procedure SpinEdit5Change(Sender: TObject);
procedure FormShow(Sender: TObject);
procedure Button1Click(Sender: TObject);
procedure Button6Click(Sender: TObject);
procedure Button7Click(Sender: TObject);
procedure Button8Click(Sender: TObject);
procedure ck1Change(Sender: TObject);
procedure ck2Change(Sender: TObject);
procedure ck3Change(Sender: TObject);
procedure ck4Change(Sender: TObject);
private
{ Private declarations }
public
{ Public declarations }
end;
var
Form1: TForm1;
sample : integer = 0;
sample2 : integer = 0;
valor : integer = 0;
x : integer = 0;
y : integer = 0;
sum : real = 0;
snravg : real = 0;
implementation
{$R *.dfm}
procedure TForm1.Button1Click(Sender: TObject);
begin
comport1.ShowSetupDialog;
edit1.Text := ComPort1.Port;
end;
procedure TForm1.Button2Click(Sender: TObject);
begin
if not Comport1.Connected then
begin
try
Comport1.Open;
Button2.Caption := 'Desconectar';
timer1.Enabled := true;
Button1.Enabled := false;
except
showmessage('Falha ao abrir a porta COM');
end;
end
else
begin
try
button4.Click;
button5.Click;
SpinEdit2.Text := '0';
SpinEdit3.Text := '0';
SpinEdit4.Text := '0';
SpinEdit5.Text := '0';
Comport1.Close;
Button2.Caption := 'Conectar';
timer1.Enabled := false;
Button1.Enabled := true;
StatusBar1.Panels[0].Text := '';
finally
end;
end;
end;
procedure TForm1.Button3Click(Sender: TObject);
begin
if ComPort1.Connected then
begin
Chart1.Series[0].Clear;
x:=0;
Comport1.WriteStr('A' + #10);
end;
end;
procedure TForm1.Button4Click(Sender: TObject);
begin
if ComPort1.Connected then
begin
Comport1.WriteStr('S' + #10);
timer2.Enabled := false;
end;
end;
procedure TForm1.Button5Click(Sender: TObject);
begin
Chart1.Series[0].Clear;
x:=0;
end;
procedure TForm1.Button6Click(Sender: TObject);
begin
if ComPort1.Connected then
begin
Comport1.WriteStr('R' + #10);
SpinEdit2.Text := '0';
SpinEdit3.Text := '0';
SpinEdit4.Text := '0';
SpinEdit5.Text := '0';
timer2.Enabled := false;
button5.Click;
end;
end;
procedure TForm1.Button7Click(Sender: TObject);
begin
if ComPort1.Connected then
begin
Comport1.WriteStr('L' + #10);
end;
end;
procedure TForm1.Button8Click(Sender: TObject);
begin
if ComPort1.Connected then
begin
Comport1.WriteStr('D' + #10);
end;
end;
procedure TForm1.ck1Change(Sender: TObject);
begin
spinedit2.OnChange(Sender);
end;
procedure TForm1.ck2Change(Sender: TObject);
begin
spinedit3.OnChange(Sender);
end;
procedure TForm1.ck3Change(Sender: TObject);
begin
spinedit4.OnChange(Sender);
end;
procedure TForm1.ck4Change(Sender: TObject);
begin
spinedit5.OnChange(Sender);
end;
function TryStrToInt(const S: string; out Value: Integer): Boolean;
var
E: Integer;
begin
Val(S, Value, E);
Result := E = 0;
end;
procedure TForm1.ComDataPacket1Packet(Sender: TObject; const Str: string);
begin
if TryStrToInt(Str, Valor) then
begin
sample := sample + 1;
x := x + 1;
timer2.Enabled := true;
if y < 127 then
begin
y := y + 1;
sum := sum + Valor;
end
else
begin
snravg := sum / y;
sum := 0;
y := 0;
end;
end
end;
procedure TForm1.FormShow(Sender: TObject);
begin
edit1.Text := ComPort1.Port;
end;
procedure TForm1.SpinEdit1Change(Sender: TObject);
begin
Chart1.Series[0].Clear;
x := 0;
end;
procedure TForm1.SpinEdit2Change(Sender: TObject);
var
i:integer;
r:double;
begin
TryStrToInt(SpinEdit2.Text,i);
TryStrToFloat(ck1.Text, r);
trackbar1.Position := i * -1;
l0.Caption := floattostr(trackbar1.Position * -1 * r) + ' mV (RMS)';
ck4.Text := floattostr(r);
if ComPort1.Connected then Comport1.WriteStr('0,'+SpinEdit2.Text+ #10);
end;
procedure TForm1.SpinEdit3Change(Sender: TObject);
var
i:integer;
r:double;
begin
TryStrToInt(SpinEdit3.Text,i);
TryStrToFloat(ck2.Text, r);
trackbar2.Position := i * -1;
l1.Caption := floattostr(trackbar2.Position * -1 * r) + ' mV (RMS)';
if ComPort1.Connected then Comport1.WriteStr('1,'+SpinEdit3.Text+ #10);
end;
procedure TForm1.SpinEdit4Change(Sender: TObject);
var
i:integer;
r:double;
begin
TryStrToInt(SpinEdit4.Text,i);
TryStrToFloat(ck3.Text, r);
trackbar3.Position := i * -1;
l2.Caption := floattostr(trackbar3.Position * -1 * r) + ' mV (RMS)';
if ComPort1.Connected then Comport1.WriteStr('2,'+SpinEdit4.Text+ #10);
end;
procedure TForm1.SpinEdit5Change(Sender: TObject);
var
i:integer;
r:double;
begin
TryStrToInt(SpinEdit5.Text,i);
TryStrToFloat(ck4.Text, r);
trackbar4.Position := i * -1;
l3.Caption := floattostr(trackbar4.Position * -1 * r) + ' mV (RMS)';
if ComPort1.Connected then Comport1.WriteStr('3,'+SpinEdit5.Text+ #10);
end;
procedure TForm1.Timer1Timer(Sender: TObject);
begin
StatusBar1.Panels[0].Text := 'Signal: ' + inttostr(sample)
'+inttostr(sample2)+' Hz, Sampling: 1000Hz';
sample := 0;
sample2 := 0;
end;
+
'
Hz,
Graph:
procedure TForm1.Timer2Timer(Sender: TObject);
var
i, j : integer;
v: real;
begin
sample2 := sample2 + 1;
Chart1.Series[0].AddXY(x, valor, '', clTeeColor);
if TryStrToInt(SpinEdit1.Text,i) then
if x > i then Chart1.Series[0].Delete(0);
StatusBar1.Panels[1].Text := inttostr(sample) + ':' + inttostr(valor);
TryStrToInt(SpinEdit2.Text,j);
if j = 0 then
begin
label7.caption := '-';
label11.caption := '-';
end
else
begin
v:= Power((snravg * strtofloat(ck2.Text)),2) / Power((j * strtofloat(ck1.Text)) ,2);
label7.caption := Format('%.1f', [v]);
if v = 0 then v:= 1;
label11.caption := Format('%.1f', [(20*log10(v))]);
end;
end;
procedure TForm1.TrackBar1Change(Sender: TObject);
begin
spinedit2.Text := inttostr(trackbar1.Position * -1);
end;
procedure TForm1.TrackBar2Change(Sender: TObject);
begin
spinedit3.Text := inttostr(trackbar2.Position * -1);
end;
procedure TForm1.TrackBar3Change(Sender: TObject);
begin
spinedit4.Text := inttostr(trackbar3.Position * -1);
end;
procedure TForm1.TrackBar4Change(Sender: TObject);
begin
spinedit5.Text := inttostr(trackbar4.Position * -1);
end;
end.
APÊNDICE O
CAPÍTULO 22 -
LISTA DE PUBLICAÇÕES
FAMBRINI, F; BARRETO, M.A.; SAITO, J.H.; Low noise microelectrode array
signal headstage pre-amplifier for in-vitro neuron culture
[ Artigo submetido e publicado na Conferência CIBCB 2014, realizada em
Honolulu, Hawaii, EUA, em Novembro de 2014. Descreve a construção do
sistema Pré-Amplificador convencional para sinais captados em MEA. Site da
Conferência: http://cibcb.org/2014/ ].
FAMBRINI, F.; BARRETO, M.A.; SAITO, J.H.; Low Noise Microelectrode
Array Signal Headstage Pre-amplifier for In-Vitro Neuron Culture
[ Artigo submetido e publicado na Conferência CBMS 2014, IEEE 27th
International Symposium on Computer, em New York, EUA. Descreve a
construção do sistema amplificador completo para matriz de microeletrodos.
Site da Conferência: http://sites.ieee.org/cbms/callpapers/ ]
FAMBRINI, F.; BARRETO, M.A.; SAITO, J.H.; Data Recording, Amplification,
and Acquisition System for Microelectrode Array
[ Artigo submetido e publicado na Conferência CBMS 2014, IEEE 27th
International Symposium on Computer, em New York, EUA. Descreve os
resultados experimentais dos testes efetuados com o sistema Préamplificador e Amplificador para sinais em MEA. Site da Conferência:
http://sites.ieee.org/cbms/callpapers/ ]
FAMBRINI, F.; SAITO, J.H.;
DEL VAL CURA, L.M. Mea recording system
circuit implementation.
[ Artigo submetido e publicado na Conferência IECON 2017 - 43rd Annual
Conference of the IEEE, 2017. Descreve os resultados dos experimentos com
o sistema completo de registro de sinais em MEA, incluindo o sistema de
multiplexação e o conversor analógico/digital. Site da Conferência: http://peip.com/ieee-iecon-2017-call-papers/ ].
FAMBRINI F.; SAITO J.H. An Innovative Digital Amplification Device for
Neuronal Signal Based in Stochastic Resonance.
[Artigo submetido à revista “Journal of Experimental Biology” em Agosto
de 2019 e aguarda parecer. Descreve o método de amplificação de sinais
captados em MEA através do sistema de ressonância estocástica e
descreve também a construção do equipamento CADSR ( Computer
Aided Digital Stochastic Resonator) bem como o desenvolvimento de seu
Software de controle. Website deste Journal: https://jeb.biologists.org/ ] .
Número do comprovante de Submissão do Artigo:
MSID#:JEXBIO/2019/213215
MS
TITLE:
Neuronal
An
Signal
Innovative
Based
Digital
in
Amplification
Stochastic
Device
for
Resonance
AUTHORS: Francisco Fambrini, José Hiroki Saito, and Joao-Batista
Batista Destro-Filho
Artigos nos quais o autor participou indiretamente, como colaborador, e
possuem algum tipo de relação com esse trabalho:
BARRETO, M.A.; FAMBRINI, F.; SAITO, J.H.; Microelectrode array signal
amplification using stochastic resonance
[ Artigo submetido e publicado na Conferência IECON 2015 - 41st Annual
Conference of the IEEE, 2015. Descreve um sistema de amplificação de sinais
em MEA utilizando o fenômeno de ressonância estocástica ].
CAMPOS, J.R.; SAITO, J.H.; MARI, J.F., FAMBRINI, F.; LAPPA R del Val Cura
L.M.; Topological Mapping of Neurons using Activities Detected in MEA
Recording Signals.
[ Artigo submetido e publicado na Conferência KES 2018, realizada em
Belgrado, Sérvia. Descreve o mapeamento topológico dos neurônios em MEA
baseado nos sinais elétricos captados pelos microeletrodos. Site da
Conferência: http://kes2018.kesinternational.org/ ].