Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
SlideShare a Scribd company logo
IOSR Journal of Electronics and Communication Engineering (IOSR-JECE)
e-ISSN: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 5 (Jul. - Aug. 2013), PP 49-56
www.iosrjournals.org
www.iosrjournals.org 49 | Page
Implementation of Efficiency CORDIC Algorithmfor Sine &
Cosine Generation
P.Keerthi, ShaikJaffar
(M.Tech),Vlsi Design Dept Of Electronics And Communications Madina Engineering College Kadapa, Andhra
Pradesh.
M.Tech, (Ph.D.) Miste, Mie, Assoc.ProfessorDeptOf Electronics And Communications Madina Engineering
College Kadapa, Andhra Pradesh
Abstract: This paper presents an area-time efficient coordinate rotation digital computer (CORDIC) algorithm
that completely eliminates the scale-factor. A generalized micro-rotation selection technique based on high
speed most-significant-1-detection obviates the complex search algorithms for identifying the micro-rotations.
This algorithm is redefined as the elementary angles for reducing the number of CORDIC iterations. Compared
to the existing re-cursive architectures the proposed one has 17% lower slice-delay product on Xilinx Spartan
XC2S200E device. The CORDIC processor pro-vides the flexibility to manipulate the number of iterations
depending on the accuracy, area and latency requirements.
Index Terms—coordinate rotation digital computer (CORDIC), cosine/sine, field-programmable gate
array(FPGA),most-significant-1, recursive architecture.
I. Introduction
For systems such as Calculator, keeping the size of calculator very small is of prime importance. For
these systems the cost (e.g. chip gate count has to be minimized) is more important than speed. Also it is very
important to calculate the values with good accuracy and precision. Though sometimes by increasing the bit
length we can obtain better precision, but it is more important to select a method which gives more accurate
results.
The coordinate rotation digital computer (CORDIC) has established its popularity in several
important areas of application, like generation of sine and cosine functions, calculation of discrete sinusoidal
transforms like fast Fourier transform (FFT), discrete sine/cosine transforms (DST/DCT), householder
transform (HT),etc. [1]–[3]. Manyvariations have been suggested for efficient implementation of CORDIC with
less number of iterations over the conventional CORDIC algorithm.The number of CORDIC iterations are
optimized in [4]–[6] by greedy search at the cost of additional areaand time for the implementation of variable
scale-factor. In efficient scale-factor compensationtechniques are proposed, which adversely affect the
latency/throughput of computation.
Two area-time efficient CORDIC architectures have been suggested , which involve constant scale-
factor multiplication for adequate range of convergence (RoC). The virtually scale-
free CORDIC also requires multiplication by constant scale-factor and relatively more area to achieve
respectable RoC. The enhanced scale-free CORDIC combines few conventional CORDIC iterations with
scaling-free CORDIC iterations for an efficient pipelined CORDIC implementation with improved RoC.
However, if used for recursive CORDIC architecture, combining two different types of CORDIC iterations,
degrades performance. In this paper, we propose a novel scaling-free CORDIC algorithm for area-time efficient
implementation of CORDIC with adequate RoC.
II. Brief Overview Of Cordicalgorithm
CORDIC (Coordinate Rotation Digital Computer) was introduced in 1959 by Jack E. Volder. It is very
efficient to compute the values of sin, cos, sin, tan, sinh, Cosh, tanh. It’s a Hardware Efficient Algorithm. It is
an iterative Algorithm for Circular Rotation. It requires no Multiplication. Delay/Hardware cost comparable to
division or square rooting. Compared to other approaches, CORDIC is a clear winner when:Hardware
Multiplier is unavailable (e.g. microcontroller),You wants to save the gates required to implement (e.g.
FPGA).Its basic ideas is-Embedding of elementary function evaluation as a generalized rotation operation,
Decompose rotation operation into successive basic rotations,Each basic rotation can be realized with shift and
add arithmetic operations. Shift and-add arithmetic operations. To evaluate trigonometric functions we have
many approaches suchas -1) Table lookup2) Polynomial Approximations3)CORDIC.
Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation
www.iosrjournals.org 50 | Page
A. Taylor Series
The Taylor series expansion for sine is:
sin 𝑥 = 𝑥 −
𝑥3
3!
+
𝑥5
5!
−
𝑥7
7!
+ ⋯
This method is one of the oldest and most widely, but the problem associated with this method is, to get values
of higher accuracies, higher order factorial and power has to be calculated. Moreover to implement this we
would at least require a multiplier, divider, adder and a subtractor. For good accuracy it would be required to
take each term incalculation till they become insignificant. Thus this approach has a lot of hardware
requirements as well as it is slow.
B. Look up Table
The Lookup table approach involves storing values of sine and cosine at different angles. Based on
the number of values stored, the lookup table can be big or small, but clearly, the smaller the lookup table, more
is the error involved. The problem with a bigger lookup table is that it requires more memory and memory is
expensive. Moreover the size of the Lookup table increases exponentially with the increase in the precision of
the angle. Though this approach provides fast results it is very expensive to implement.
C. Cordic Algorithm
CORDIC is an acronym for Coordinate Rotation Digital Computer introduced by Jack E. Volder. It
is an iterative algorithm capable of calculating trigonometric and various other functions. In this algorithm with
the help of an adder/subtractor, a small look up table and a shifter the trigonometric functions can be calculated
very easily. The advantage that Cordic offers over other algorithms are that it does not require multiplication or
division blocks, instead it works only with a shifter, adder/subtractor and a small lookup table. This reduces the
hardware requirement drastically and provides reasonably good speed.
Many variations have been suggested for efficient implementation of CORDIC with less number of
iterations over the conventional CORDIC algorithm [4]–[11]. The number of CORDIC iterations are optimized
in [4]–[6] by greedy search at the cost of additional area and time for the implementation of variable scale-
factor. In [7] and [8] efficient scale-factor compensation techniques are proposed, which adversely affect the
latency/throughput of computation. Two area-time efficient CORDIC architectures have been suggested in [9],
which involve constant scale-factor multiplication for adequate range of convergence (RoC). The virtually
scale-free CORDIC in [10] also requires multiplication by constant scale-factor and relatively more area to
achieve respectable RoC. The enhanced scale-free CORDIC in [11] combines few conventional CORDIC
iterations with scaling-free CORDIC iterations for an efficient pipelined CORDIC implementation with
improved RoC. However, if used for recursive CORDIC architecture, combining two different types of
CORDIC iterations, degrades performance.
The low complexity technique for eliminating the scale factor is the use of Taylor series expansion. The
Scaling-Free CORDIC and modified scale-free CORDIC are techniques based on Taylor series approach. The
former suffers from low range of convergence (RoC) which renders it unsuitable for practical applications,
while the latter extends the RoC but introduces predictable but constant scale-factor of 1/ 2. The other
hardware efficient architectures require scale-factor compensations to extend the range of convergence to the
entire coordinate space.
III. Sequential/Iterative Cordic
It requires Maximum number of Clock Cycles to calculate output,Minimum Clock Period
periteration,Variable Shifters do not map well on certain FPGA’s due to high Fan-in.
Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation
www.iosrjournals.org 51 | Page
Fig:1.Variable Shifters
Parallel/Cascaded CORDIC:
It hasCombinational circuit More Delay, but processing time is reduced as compared to iterative
circuit.Shifters are of fixed shift, so they can be implemented in the wiring.Constants can be hardwired instead
of requiring storage space.
Fig.2. Parallel/Cascaded CORDIC
Coordinate Rotation Digital Computer is abbreviated as CORDIC. The key concept of CORDIC arithmetic is
based on the simple and ancient principles of two-dimensional geometry. But the iterative formulation of a
computational algorithm for its implementation was first described in 1959 by Jack E. Volder for the
computation of trigonometric functions, multiplication and division. This year therefore marks the completion
of 50 years of the CORDIC algorithm. Not only a wide variety of applications of CORDIC have emerged in the
last 50 years, but also a lot of progress has been made in the area of algorithm design and development of
architectures for high performance and low-cost hardware solutions of those applications. CORDIC-based
computing received increased attention in 1971, by varying a few simple parameters; it could be used as a
single algorithm for unified implementation of a wide range of elementary transcendental functionsinvolving
logarithms, exponentials, and square roots along with those suggested by Volder. During the same time,
Cochran benchmarked various algorithms, and showed that CORDIC technique is a better choice for scientific
calculator applications. The popularity of CORDIC was very much enhanced thereafter primarily due to
itspotential for efficient and low-cost implementation of a large class of applications which include: the
generationof trigonometric, logarithmicand transcendental elementary functions; complex number
multiplication, eigenvalue computation, matrix inversion, solution of linear systems and singular value
decomposition (SVD) for signal processing, image processing, and general scientific computation.
The name CORDIC stands for Coordinate Rotation Digital Computer. Volder [Vold59] developed the
underlying method of computing the rotation of a vector in a Cartesian coordinate system and evaluating the
length and angle of a vector. The CORDIC method was later expanded for multiplication, division, logarithm,
exponential and hyperbolic functions.
Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation
www.iosrjournals.org 52 | Page
IV. Pipelined Architecture
The principle of pipelining has emerged as a major architectural attribute of most present computer
systems.Pipelining is one form of imbedding parallelism or concurrency in a computer system. It refers to a
segmentation of a computational process (say, an instruction) into several sub processes which are executed by
dedicated autonomous units (facilities, pipelining segments)
Fig.3.Pipe line architecture logical view
Parallel CORDIC can be pipelined by inserting registers between the adders stages. In most FPGA
architectures there are already registers present in each logic cell, so pipeline registers has no hardware cost.
Number of stages after which pipeline register is inserted can be modeled, considering clock frequency of
system.When operating at greater clock period power consumption in later stages reduces due to lesser
switching activity in each clock period.
V. Proposed Algorithm For Scaling Free Cordic :
The proposed design is based on the following key ideas: 1) we use Taylor series expansion of sine
and cosine functions to avoid scaling operation and 2) suggest a generalized sequence of micro-rotation to have
adequate range of convergence (RoC) based on the chosen order of approximation of the Taylor series.
A.Taylor Series Approximation of Sine and Cosine Functions
The Taylor expansions of sine and cosine of an angle “-” are given by
sin ∝= ∝ − 3! −1
∝3
+ 5! −1
∝5
− ⋯
cos ∝ = 1 − 2! −1
∝2
+ 4! −1
∝4
− ⋯
We have estimated the maximum error in the evaluation of sine and cosine functions for different order of
approximations. Therefore, we choose third order of approximation for Taylor’s expansion of sine and cosine
functions.
1) Representation of Micro-Rotations Using Taylor Series Approximation:
Here, we study the impact of orders of approximation ofTaylor series of sine and cosine functions on the
micro-rotations to beused in CORDIC coordinate calculation. Both theoretical and simulationresults are
discussed to confirm the appropriate selection of theorder of approximation. Using different orders of
approximation of sineand cosine functions in (2), we can have
𝑥𝑖+1 = 1 −
∝2
𝑖
2!
. 𝑥𝑖 − ∝𝑖−
∝3
𝑖
3!
. 𝑦𝑖
𝑦𝑖+1 = 1 −
∝2
𝑖
2!
. 𝑦𝑖 + ∝𝑖−
∝3
𝑖
3!
. 𝑥𝑖 (1a)
𝑥𝑖+1 = 1 −
∝2
𝑖
2!
+
∝4
𝑖
4!
. 𝑥𝑖 − ∝𝑖−
∝3
𝑖
3!
. 𝑦𝑖
𝑦𝑖+1 = 1 −
∝2
𝑖
2!
+
∝4
𝑖
4!
. 𝑦𝑖 + ∝𝑖−
∝3
𝑖
3!
. 𝑥𝑖(1b)
𝑥𝑖+1 = 1 −
∝2
𝑖
2!
+
∝4
𝑖
4!
. 𝑥𝑖 − ∝𝑖−
∝3
𝑖
3!
+
∝5
𝑖
5!
. 𝑦𝑖
Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation
www.iosrjournals.org 53 | Page
𝑦𝑖+1 = 1 −
∝2
𝑖
2!
+
∝4
𝑖
4!
. 𝑦𝑖 + ∝𝑖−
∝3
𝑖
3!
+
∝5
𝑖
5!
. 𝑥𝑖 (1c)𝑥𝑖+1 = 1 −
∝2
𝑖
2!
+
∝4
𝑖
4!
−
∝6
𝑖
6!
. 𝑥𝑖 − ∝𝑖−
∝3
𝑖
3!
+
∝5𝑖5!.𝑦𝑖
𝑦𝑖+1 = 1 −
∝2
𝑖
2!
+
∝4
𝑖
4!
−
∝6
𝑖
6!
. 𝑦𝑖 + ∝𝑖−
∝3
𝑖
3!
+
∝5
𝑖
5!
. 𝑥𝑖(1d)
𝑥𝑖+1 = 1 −
∝2
𝑖
2!
+
∝4
𝑖
4!
−
∝6
𝑖
6!
. 𝑥𝑖 − ∝𝑖−
∝3
𝑖
3!
+
∝5
𝑖
5!
−
∝7
𝑖
7!
. 𝑦𝑖
𝑦𝑖+1 = 1 −
∝2
𝑖
2!
+
∝4
𝑖
4!
−
∝6
𝑖
6!
. 𝑦𝑖 + ∝𝑖−
∝3
𝑖
3!
+
∝5
𝑖
5!
−
∝7
𝑖
7!
. 𝑥𝑖 (1e)
We have used (1) for coordinate calculation for evaluating the best possible combination of approximation,
which satisfies the accuracy and RoC requirements, with minimum possible hardware. In Fig. 1,we have plotted
the error in magnitude estimated according to (1) (with respect to the corresponding built-in functions of
MATLAB). Since Errors resulting from the five combinations (1a)–(1e) are of very small order, we prefer to
use (1a) for coordinate calculation with minimum complexity.
2) Expressions for Micro-Rotations Using Taylor Series Approximation and Factorial Approximation:
Although, we find that we canuse Taylor series expansion with third order of approximation
(1a),with desired accuracy and RoC requirement, (1a)cannot be used inthe CORDIC shift-add iterations. To
implement (1a) by shift-add operations,we need to approximate the factorial terms by the power of 2values,
replacing 3! by 2^3 in the (1a) we find
𝑥𝑖+1
𝑦𝑖+1
=
1 − 2! −1
. ∝𝑖
2
−(∝𝑖− 2−3
∝𝑖
3
)
(∝𝑖− 2−3
∝𝑖
3
) (1 − 2! −1
. ∝𝑖
2
)
.
𝑥𝑖
𝑦𝑖
(2)
In Fig. 1 only, we have plotted the error in magnitude using the approximated factorial values and exact
factorial values after a CORDIC rotation for initial vector with coordinates X=1 and Y=1. The maximum
percentage of error in sine and cosine values for both third order of approximation and factorial approximation
is 0.0004% and 0.0168%, respectively, within the permissible CORDIC elementary angles range of 0,
7𝜋
88
discussed.
B. Determination of the Basic-Shift for a Given Order of Approximation of Taylor Series Expansion
One can find that: 1) the order of approximation of Taylor series expansion of sine and cosine
functions determines the basic-shift to be used for CORDIC iterations, and 2) the basic-shift of CORDIC
microoperation determines the range of convergence. The expressions for the basic-shifts, the first elementary
angle of rotation ∝1 and RoCfor different orders of approximations for different word-length of
implementations are as follows:
Basic shift S=
𝑏−log 2 𝑛+1 !
(𝑛+1)
(3a)
Where b is the wordlength
ROC=𝑛1. ∝1 (3b)
N is number of micro rotations
∝1= 2−𝑠
(3c)
The values in Table I are derived from (3). We find with increase in the order of approximation, the
basic-shift decreases, the first elementary angle of rotation increases and RoC is expanded. Very often inclusion
of higher order terms does not have any impact on the accuracy for smaller word-lengths. The basic-shift for
third order of approximation using (3a), for 16-bit word-length is [2.854].
TABLE I
COMPARISION OF APPROXIMATION ORDERS VERSUS ROC FOR VARIOUS BIT WIDTHS BASED
ON(7)
Order of
Approx.
Basic shift First Elementary Angle
(Radians)
RoC for 𝑛1=4
(Radians)
16-bit 32-bit 16-bit 32-bit 16-bit 32-bit
3 2 6 0.25 0.01562 1 0.0625
4 1 5 0.5 0.03125 2 0.125
5 1 3 0.5 0.125 2 0.5
Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation
www.iosrjournals.org 54 | Page
TABLE II
BIT REPRESENTATION OF ELEMENTARY ANGLES AND CORRESPONDING SHIFTS
Shift
(si)
Elementary angle(𝛼𝑖)
Decimal 16-bit HexaDecimal
2 0.25 4000
3 0.125 2000
4 0.0625 1000
5 0.03125 0800
In this paper, we propose a novel scaling-free CORDIC algorithm for area-time efficient implementation of
CORDIC with adequate RoC. The proposed recursive architecture has comparable or less area complexity with
other existing scaling-free CORDIC algorithms. Moreover, no scale-factor multiplications are required for
extending the RoC to entire coordinate Space.
TABLE III
PSEUDO CODE FOR GENERATING THE MICRO-ROTATION SEQUENCE
Input: angle to be rotated 𝜃𝑖
Begin
M=Most significant-1location(𝜃𝑖)
If(M==15)then
α=0.25 radians
shift,𝑠𝑖 = 2 𝑎𝑛𝑑𝜃𝑖+1 = 𝜃𝑖 − 𝛼
else
shift,𝑠𝑖=16-M
𝜃𝑖+1 = 𝜃𝑖with𝜃𝑖[M]=’0’
END
VI. Proposed Cordic Architecture
The block diagram for the proposed CORDIC architecture is shown in Fig. below. It makes use of
the same stage for all the iterations for the coordinate calculations, as well as for the generation of shift values.
The structure of each stage (shown in Fig. 5) consists of three computing blocks namely the 1) shift-value
estimation; 2) coordinate calculation;and 3) micro-rotation sequence generator.
Fig.4. Recursive architecture of the proposed CORDIC processor.
Fig. 5. Block diagram for the stage.
The combinatorial circuit for generating the micro-rotation sequence is shown in Fig. 4. The number of
iterations required in a CORDIC processor decides the rollover count of the counter. The rollover count is seven
for basic shift =2 and ten for basic-shift =3.
Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation
www.iosrjournals.org 55 | Page
Fig. 6.Combinatorial circuit for generating the shift values.
The expiry of the counter signals the completion of a CORDIC operation; depending on this signal, the
multiplexer either loads a new data-set (rotation angle,initial value of and “x”and”y”) to start a fresh CORDIC
operation, or recycles the output of the stage to begin a new iteration for the current CORDIC operation. The
input and output register files act as latches for synchronization.
Fig. 7.Micro-rotation sequence generation.
VI. Fpga Implementation
The proposed architecture is coded in Verilog and synthesized using Xilinx ISE9.2i to be
implemented in Xilinx Spartan 2E (XC2S200EPQ208- 6) device. Slice-delay-product of the proposed
architecture is compared with the existing CORDIC designs in Table IV; where, all designs are synthesized on
Xilinx Spartan 2E XC2S200E device to maintain uniformity. The power dissipation of the proposed
architecture for different clock frequencies is estimated by Xilinx XPower tool.
VII. Experimental Result And Discussion
TABLE IV
SLICE DELAY PRODUCT
Slice-delay-product of the proposed architecture is compared with the existing CORDIC designs in
TableIVis suggested to reduce the number of iterations for low latency implementation. The proposed CORDIC
processor has 17% lower slice-delay product for identifying the micro-rotations.
VIII. Conclusion
The proposed algorithm provides a scale-free solution for realizing vector-rotations using CORDIC.
The order of Taylor series approximation is decided appropriately by the proposed algorithm, not only to meet
the accuracy requirement but also to attain adequate range of convergence. The generalized micro-rotation
selection technique is suggested to reduce the number of iterations for low latency implementation. Moreover, a
high speed most-significant-1 detection scheme obviates the complex search algorithms for identifying the
micro-rotations. The proposed CORDIC processor has 17% lower slice-delay product with a penalty of about
13% increased slice consumption on Xilinx Spartan 2E device.
Logic Utilization Used Available Utilizatio
n
Number of Slices 958 5472 17%
Number of Slice Flip Flops 862 10944 7%
Number of 4 input LUTs 1749 10944 15%
Number of bonded IOBs 57 240 23%
Number of GCLKs 1 32 3%
Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation
www.iosrjournals.org 56 | Page
References
[1] J. E. Volder, “The CORDIC trigonometric computing technique,” IRE Trans. Electron. Comput., vol. EC-8, pp. 330–334, Sep.
1959.
[2] K. Maharatna, A. S. Dhar, and S. Banerjee, “A VLSI array architecture for realization of DFT, DHT, DCT and DST,” Signal
Process., vol. 81, pp. 1813–1822, 2001.
[3] P. K. Meher, J.Walls, T.-B. Juang, K. Sridharan, and K. Maharatna, “50 years of CORDIC: Algorithms, architectures and
applications,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 9, pp. 1893–1907, Sep. 2009.
[4] C. S. Wu and A. Y. Wu, “Modified vector rotational CORDIC (MVRCORDIC) algorithm and architecture,” IEEE Trans. Circuits
Syst. II, Exp. Briefs, vol. 48, no. 6, pp. 548–561, Jun. 2001.
[5] C.-S.Wu, A.-Y.Wu, and C.-H. Lin, “A high-performance/low-latency vector rotational CORDIC architecture based on extended
elementary angle set and trellis-based searching schemes,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process, vol. 50, no.
9, pp. 589 601, Sep.2003.
[6] Y. H. Hu and S. Naganathan, “An angle recoding method for CORDIC algorithm implementation,” IEEE Trans. Compute., vol. 42,
no. 1, pp. 99–102, Jan. 1993.
[7] M. G. B. Sumanasena, “A scale factor correction scheme for the CORDIC algorithm,” IEEE Trans. Compute., vol. 57, no. 8, pp.
1148–1152, Aug. 2008.
[8] J. Villalba, T. Lang, and E. L. Zapata, “Parallel compensation of scale factor for the CORDIC algorithm,” J. VLSI Signal Process.
Syst., vol. 19, no. 3, pp. 227–241, Aug. 1998.
[9] L. Vachhani, K. Sridharan, and P. K. Meher, “Efficient CORDIC algorithms and architectures for low area and high throughput
implementation,” IEEE Trans. Circuit Syst. II, Exp. Briefs, vol. 56, no. 1, pp. 61–65,Jan. 2009.
[10] K. Maharatna, S. Banerjee, E. Grass, M. Krstic, and A. Troya, “Modifiedvirtually scaling-free adaptive CORDIC rotator algorithm
and architecture,”IEEE Trans. Circuits Syst. Video Technol., vol. 11, no. 11,pp. 1463–1474, Nov. 2005.
[11] F. J. Jaime, M. A. Sanchez, J. Hormigo, J. Villalba, and E. L. Zapata, “Enhanced scaling-free CORDIC,” IEEE Trans. Circuits.
BIOGRAPHIES
P.Keerthi, received B.Tech degree in Electronics & Communication Engineering from
Madanapalle Institute of Technology and Science, Madanapalle,in 2011.Worked as
Assistant Professor in MITS for 6 months. She is now M.Tech scholarin VLSI
Design,Madina Engineering College,Kadapa,AP.
Shaik.Jaffar receivedB.Techdegree in Electronics & Communication Engineering in
1991,M.TechElectronics & Communication Engineering in 2002.He is currently doing
research in JNTUA University.He is having an experience of15years,in the field of
teaching, presently workingas Assco. Professor in the department of ECE, MadinaEngg
College,Kadapa. He is a life time memberof MIE&MISTE.

More Related Content

What's hot

Graphical Model Selection for Big Data
Graphical Model Selection for Big DataGraphical Model Selection for Big Data
Graphical Model Selection for Big Data
Alexander Jung
 
VLSI Implementation of CORDIC Based Robot Navigation Processor
VLSI Implementation of CORDIC Based Robot Navigation ProcessorVLSI Implementation of CORDIC Based Robot Navigation Processor
VLSI Implementation of CORDIC Based Robot Navigation Processor
IRJET Journal
 
SCS-MCSA- Based Architecture for Montgomery Modular Multiplication
SCS-MCSA- Based Architecture for Montgomery Modular MultiplicationSCS-MCSA- Based Architecture for Montgomery Modular Multiplication
SCS-MCSA- Based Architecture for Montgomery Modular Multiplication
IRJET Journal
 
Dw2645274531
Dw2645274531Dw2645274531
Dw2645274531
IJMER
 
Andrew Goldberg. Highway Dimension and Provably Efficient Shortest Path Algor...
Andrew Goldberg. Highway Dimension and Provably Efficient Shortest Path Algor...Andrew Goldberg. Highway Dimension and Provably Efficient Shortest Path Algor...
Andrew Goldberg. Highway Dimension and Provably Efficient Shortest Path Algor...
Computer Science Club
 
distance_matrix_ch
distance_matrix_chdistance_matrix_ch
distance_matrix_ch
vikasveshishth
 
Orthogonal Faster than Nyquist Transmission for SIMO Wireless Systems
Orthogonal Faster than Nyquist Transmission for SIMO Wireless SystemsOrthogonal Faster than Nyquist Transmission for SIMO Wireless Systems
Orthogonal Faster than Nyquist Transmission for SIMO Wireless Systems
T. E. BOGALE
 
DESIGN OF QUATERNARY LOGICAL CIRCUIT USING VOLTAGE AND CURRENT MODE LOGIC
DESIGN OF QUATERNARY LOGICAL CIRCUIT USING VOLTAGE AND CURRENT MODE LOGICDESIGN OF QUATERNARY LOGICAL CIRCUIT USING VOLTAGE AND CURRENT MODE LOGIC
DESIGN OF QUATERNARY LOGICAL CIRCUIT USING VOLTAGE AND CURRENT MODE LOGIC
VLSICS Design
 
Cad for vlsi design june2013 (3)
Cad for vlsi design june2013 (3)Cad for vlsi design june2013 (3)
Cad for vlsi design june2013 (3)
SRI TECHNOLOGICAL SOLUTIONS
 
Dsp model exam qp
Dsp model exam qpDsp model exam qp
Dsp model exam qp
Rama Dhurai
 
Acceleration of the Longwave Rapid Radiative Transfer Module using GPGPU
Acceleration of the Longwave Rapid Radiative Transfer Module using GPGPUAcceleration of the Longwave Rapid Radiative Transfer Module using GPGPU
Acceleration of the Longwave Rapid Radiative Transfer Module using GPGPU
Mahesh Khadatare
 
6. Implementation
6. Implementation6. Implementation
Design and realization of iir digital band stop filter using modified analog ...
Design and realization of iir digital band stop filter using modified analog ...Design and realization of iir digital band stop filter using modified analog ...
Design and realization of iir digital band stop filter using modified analog ...
Subhadeep Chakraborty
 
Practical Spherical Harmonics Based PRT Methods
Practical Spherical Harmonics Based PRT MethodsPractical Spherical Harmonics Based PRT Methods
Practical Spherical Harmonics Based PRT Methods
Naughty Dog
 
Fpga 11-sequence-detector-fir-iir-filter
Fpga 11-sequence-detector-fir-iir-filterFpga 11-sequence-detector-fir-iir-filter
Fpga 11-sequence-detector-fir-iir-filter
Malik Tauqir Hasan
 
"Methods for Creating Efficient Convolutional Neural Networks," a Presentatio...
"Methods for Creating Efficient Convolutional Neural Networks," a Presentatio..."Methods for Creating Efficient Convolutional Neural Networks," a Presentatio...
"Methods for Creating Efficient Convolutional Neural Networks," a Presentatio...
Edge AI and Vision Alliance
 
1 5
1 51 5
SPU Optimizations - Part 2
SPU Optimizations - Part 2SPU Optimizations - Part 2
SPU Optimizations - Part 2
Naughty Dog
 
LightFields.jl: Fast 3D image reconstruction for VR applications - Hector And...
LightFields.jl: Fast 3D image reconstruction for VR applications - Hector And...LightFields.jl: Fast 3D image reconstruction for VR applications - Hector And...
LightFields.jl: Fast 3D image reconstruction for VR applications - Hector And...
PyData
 
8th Semester (Dec-2013) Electronic and Communication Engineering Question Pap...
8th Semester (Dec-2013) Electronic and Communication Engineering Question Pap...8th Semester (Dec-2013) Electronic and Communication Engineering Question Pap...
8th Semester (Dec-2013) Electronic and Communication Engineering Question Pap...
BGS Institute of Technology, Adichunchanagiri University (ACU)
 

What's hot (20)

Graphical Model Selection for Big Data
Graphical Model Selection for Big DataGraphical Model Selection for Big Data
Graphical Model Selection for Big Data
 
VLSI Implementation of CORDIC Based Robot Navigation Processor
VLSI Implementation of CORDIC Based Robot Navigation ProcessorVLSI Implementation of CORDIC Based Robot Navigation Processor
VLSI Implementation of CORDIC Based Robot Navigation Processor
 
SCS-MCSA- Based Architecture for Montgomery Modular Multiplication
SCS-MCSA- Based Architecture for Montgomery Modular MultiplicationSCS-MCSA- Based Architecture for Montgomery Modular Multiplication
SCS-MCSA- Based Architecture for Montgomery Modular Multiplication
 
Dw2645274531
Dw2645274531Dw2645274531
Dw2645274531
 
Andrew Goldberg. Highway Dimension and Provably Efficient Shortest Path Algor...
Andrew Goldberg. Highway Dimension and Provably Efficient Shortest Path Algor...Andrew Goldberg. Highway Dimension and Provably Efficient Shortest Path Algor...
Andrew Goldberg. Highway Dimension and Provably Efficient Shortest Path Algor...
 
distance_matrix_ch
distance_matrix_chdistance_matrix_ch
distance_matrix_ch
 
Orthogonal Faster than Nyquist Transmission for SIMO Wireless Systems
Orthogonal Faster than Nyquist Transmission for SIMO Wireless SystemsOrthogonal Faster than Nyquist Transmission for SIMO Wireless Systems
Orthogonal Faster than Nyquist Transmission for SIMO Wireless Systems
 
DESIGN OF QUATERNARY LOGICAL CIRCUIT USING VOLTAGE AND CURRENT MODE LOGIC
DESIGN OF QUATERNARY LOGICAL CIRCUIT USING VOLTAGE AND CURRENT MODE LOGICDESIGN OF QUATERNARY LOGICAL CIRCUIT USING VOLTAGE AND CURRENT MODE LOGIC
DESIGN OF QUATERNARY LOGICAL CIRCUIT USING VOLTAGE AND CURRENT MODE LOGIC
 
Cad for vlsi design june2013 (3)
Cad for vlsi design june2013 (3)Cad for vlsi design june2013 (3)
Cad for vlsi design june2013 (3)
 
Dsp model exam qp
Dsp model exam qpDsp model exam qp
Dsp model exam qp
 
Acceleration of the Longwave Rapid Radiative Transfer Module using GPGPU
Acceleration of the Longwave Rapid Radiative Transfer Module using GPGPUAcceleration of the Longwave Rapid Radiative Transfer Module using GPGPU
Acceleration of the Longwave Rapid Radiative Transfer Module using GPGPU
 
6. Implementation
6. Implementation6. Implementation
6. Implementation
 
Design and realization of iir digital band stop filter using modified analog ...
Design and realization of iir digital band stop filter using modified analog ...Design and realization of iir digital band stop filter using modified analog ...
Design and realization of iir digital band stop filter using modified analog ...
 
Practical Spherical Harmonics Based PRT Methods
Practical Spherical Harmonics Based PRT MethodsPractical Spherical Harmonics Based PRT Methods
Practical Spherical Harmonics Based PRT Methods
 
Fpga 11-sequence-detector-fir-iir-filter
Fpga 11-sequence-detector-fir-iir-filterFpga 11-sequence-detector-fir-iir-filter
Fpga 11-sequence-detector-fir-iir-filter
 
"Methods for Creating Efficient Convolutional Neural Networks," a Presentatio...
"Methods for Creating Efficient Convolutional Neural Networks," a Presentatio..."Methods for Creating Efficient Convolutional Neural Networks," a Presentatio...
"Methods for Creating Efficient Convolutional Neural Networks," a Presentatio...
 
1 5
1 51 5
1 5
 
SPU Optimizations - Part 2
SPU Optimizations - Part 2SPU Optimizations - Part 2
SPU Optimizations - Part 2
 
LightFields.jl: Fast 3D image reconstruction for VR applications - Hector And...
LightFields.jl: Fast 3D image reconstruction for VR applications - Hector And...LightFields.jl: Fast 3D image reconstruction for VR applications - Hector And...
LightFields.jl: Fast 3D image reconstruction for VR applications - Hector And...
 
8th Semester (Dec-2013) Electronic and Communication Engineering Question Pap...
8th Semester (Dec-2013) Electronic and Communication Engineering Question Pap...8th Semester (Dec-2013) Electronic and Communication Engineering Question Pap...
8th Semester (Dec-2013) Electronic and Communication Engineering Question Pap...
 

Viewers also liked

Cloud Security Analysis for Health Care Systems
Cloud Security Analysis for Health Care SystemsCloud Security Analysis for Health Care Systems
Cloud Security Analysis for Health Care Systems
International Journal of Computer and Communication System Engineering
 
FPGA Implementation of Mixed Radix CORDIC FFT
FPGA Implementation of Mixed Radix CORDIC FFTFPGA Implementation of Mixed Radix CORDIC FFT
FPGA Implementation of Mixed Radix CORDIC FFT
IJSRD
 
Spectral Analysis at the Limit - Applications in Radio Astronomy
Spectral Analysis at the Limit - Applications in Radio AstronomySpectral Analysis at the Limit - Applications in Radio Astronomy
Spectral Analysis at the Limit - Applications in Radio Astronomy
Hochschule für Technik FHNW
 
NON PARAMETRIC METHOD
NON PARAMETRIC METHODNON PARAMETRIC METHOD
NON PARAMETRIC METHOD
RUPAK KUMAR GUPTA
 
Spectrum Analyzer
Spectrum AnalyzerSpectrum Analyzer
Spectrum Analyzer
Manasa K
 
Matlab: Spectral Analysis, Fourier Analysis, Filterbank Analysis
Matlab: Spectral Analysis, Fourier Analysis, Filterbank AnalysisMatlab: Spectral Analysis, Fourier Analysis, Filterbank Analysis
Matlab: Spectral Analysis, Fourier Analysis, Filterbank Analysis
DataminingTools Inc
 
Analysis of Annual Rainfall Climate Variability in Saudi Arabia by Using Spec...
Analysis of Annual Rainfall Climate Variability in Saudi Arabia by Using Spec...Analysis of Annual Rainfall Climate Variability in Saudi Arabia by Using Spec...
Analysis of Annual Rainfall Climate Variability in Saudi Arabia by Using Spec...
Amro Elfeki
 

Viewers also liked (7)

Cloud Security Analysis for Health Care Systems
Cloud Security Analysis for Health Care SystemsCloud Security Analysis for Health Care Systems
Cloud Security Analysis for Health Care Systems
 
FPGA Implementation of Mixed Radix CORDIC FFT
FPGA Implementation of Mixed Radix CORDIC FFTFPGA Implementation of Mixed Radix CORDIC FFT
FPGA Implementation of Mixed Radix CORDIC FFT
 
Spectral Analysis at the Limit - Applications in Radio Astronomy
Spectral Analysis at the Limit - Applications in Radio AstronomySpectral Analysis at the Limit - Applications in Radio Astronomy
Spectral Analysis at the Limit - Applications in Radio Astronomy
 
NON PARAMETRIC METHOD
NON PARAMETRIC METHODNON PARAMETRIC METHOD
NON PARAMETRIC METHOD
 
Spectrum Analyzer
Spectrum AnalyzerSpectrum Analyzer
Spectrum Analyzer
 
Matlab: Spectral Analysis, Fourier Analysis, Filterbank Analysis
Matlab: Spectral Analysis, Fourier Analysis, Filterbank AnalysisMatlab: Spectral Analysis, Fourier Analysis, Filterbank Analysis
Matlab: Spectral Analysis, Fourier Analysis, Filterbank Analysis
 
Analysis of Annual Rainfall Climate Variability in Saudi Arabia by Using Spec...
Analysis of Annual Rainfall Climate Variability in Saudi Arabia by Using Spec...Analysis of Annual Rainfall Climate Variability in Saudi Arabia by Using Spec...
Analysis of Annual Rainfall Climate Variability in Saudi Arabia by Using Spec...
 

Similar to Implementation of Efficiency CORDIC Algorithmfor Sine & Cosine Generation

Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular TrajectoryArea Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
IOSR Journals
 
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Editor IJMTER
 
P0440499104
P0440499104P0440499104
P0440499104
IJERA Editor
 
Designing of cordic processor in verilog using xilinx ise simulator
Designing of cordic processor in verilog using xilinx ise simulatorDesigning of cordic processor in verilog using xilinx ise simulator
Designing of cordic processor in verilog using xilinx ise simulator
eSAT Publishing House
 
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICImplementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
ijtsrd
 
Iaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fftIaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd Iaetsd
 
Comparative analysis of multi stage cordic using micro rotation technique
Comparative analysis of multi stage cordic using micro rotation techniqueComparative analysis of multi stage cordic using micro rotation technique
Comparative analysis of multi stage cordic using micro rotation technique
IAEME Publication
 
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
VLSICS Design
 
High speed cordic design for fixed angle of rotation
High speed cordic design for fixed angle of rotationHigh speed cordic design for fixed angle of rotation
High speed cordic design for fixed angle of rotation
IAEME Publication
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniq
IAEME Publication
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniq
IAEME Publication
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniq
IAEME Publication
 
Design and analysis of optimized CORDIC based GMSK system on FPGA platform
Design and analysis of optimized CORDIC based  GMSK system on FPGA platform Design and analysis of optimized CORDIC based  GMSK system on FPGA platform
Design and analysis of optimized CORDIC based GMSK system on FPGA platform
IJECEIAES
 
Cw34597599
Cw34597599Cw34597599
Cw34597599
IJERA Editor
 
A CORDIC based QR Decomposition Technique for MIMO Detection
A CORDIC based QR Decomposition Technique for MIMO Detection A CORDIC based QR Decomposition Technique for MIMO Detection
A CORDIC based QR Decomposition Technique for MIMO Detection
IJECEIAES
 
Reconfigurable Design of Rectangular to Polar Converter using Linear Convergence
Reconfigurable Design of Rectangular to Polar Converter using Linear ConvergenceReconfigurable Design of Rectangular to Polar Converter using Linear Convergence
Reconfigurable Design of Rectangular to Polar Converter using Linear Convergence
AnuragVijayAgrawal
 
A verilog based implementation of transcendental function calculator using co...
A verilog based implementation of transcendental function calculator using co...A verilog based implementation of transcendental function calculator using co...
A verilog based implementation of transcendental function calculator using co...
eSAT Journals
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adder
IRJET Journal
 
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET Journal
 
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGAA LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
IRJET Journal
 

Similar to Implementation of Efficiency CORDIC Algorithmfor Sine & Cosine Generation (20)

Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular TrajectoryArea Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
Area Time Efficient Scaling Free Rotation Mode Cordic Using Circular Trajectory
 
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
Reconfigurable CORDIC Low-Power Implementation of Complex Signal Processing f...
 
P0440499104
P0440499104P0440499104
P0440499104
 
Designing of cordic processor in verilog using xilinx ise simulator
Designing of cordic processor in verilog using xilinx ise simulatorDesigning of cordic processor in verilog using xilinx ise simulator
Designing of cordic processor in verilog using xilinx ise simulator
 
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDICImplementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
Implementation of Rotation and Vectoring-Mode Reconfigurable CORDIC
 
Iaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fftIaetsd finger print recognition by cordic algorithm and pipelined fft
Iaetsd finger print recognition by cordic algorithm and pipelined fft
 
Comparative analysis of multi stage cordic using micro rotation technique
Comparative analysis of multi stage cordic using micro rotation techniqueComparative analysis of multi stage cordic using micro rotation technique
Comparative analysis of multi stage cordic using micro rotation technique
 
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
HARDWARE EFFICIENT SCALING FREE VECTORING AND ROTATIONAL CORDIC FOR DSP APPLI...
 
High speed cordic design for fixed angle of rotation
High speed cordic design for fixed angle of rotationHigh speed cordic design for fixed angle of rotation
High speed cordic design for fixed angle of rotation
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniq
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniq
 
Comparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniqComparative analysis of multi stage cordic using micro rotation techniq
Comparative analysis of multi stage cordic using micro rotation techniq
 
Design and analysis of optimized CORDIC based GMSK system on FPGA platform
Design and analysis of optimized CORDIC based  GMSK system on FPGA platform Design and analysis of optimized CORDIC based  GMSK system on FPGA platform
Design and analysis of optimized CORDIC based GMSK system on FPGA platform
 
Cw34597599
Cw34597599Cw34597599
Cw34597599
 
A CORDIC based QR Decomposition Technique for MIMO Detection
A CORDIC based QR Decomposition Technique for MIMO Detection A CORDIC based QR Decomposition Technique for MIMO Detection
A CORDIC based QR Decomposition Technique for MIMO Detection
 
Reconfigurable Design of Rectangular to Polar Converter using Linear Convergence
Reconfigurable Design of Rectangular to Polar Converter using Linear ConvergenceReconfigurable Design of Rectangular to Polar Converter using Linear Convergence
Reconfigurable Design of Rectangular to Polar Converter using Linear Convergence
 
A verilog based implementation of transcendental function calculator using co...
A verilog based implementation of transcendental function calculator using co...A verilog based implementation of transcendental function calculator using co...
A verilog based implementation of transcendental function calculator using co...
 
Design and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adderDesign and Implementation of Different types of Carry skip adder
Design and Implementation of Different types of Carry skip adder
 
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...IRJET-  	  Implementation of Radix-16 and Binary 64 Division VLSI Realization...
IRJET- Implementation of Radix-16 and Binary 64 Division VLSI Realization...
 
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGAA LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
A LIGHT WEIGHT VLSI FRAME WORK FOR HIGHT CIPHER ON FPGA
 

More from IOSR Journals

A011140104
A011140104A011140104
A011140104
IOSR Journals
 
M0111397100
M0111397100M0111397100
M0111397100
IOSR Journals
 
L011138596
L011138596L011138596
L011138596
IOSR Journals
 
K011138084
K011138084K011138084
K011138084
IOSR Journals
 
J011137479
J011137479J011137479
J011137479
IOSR Journals
 
I011136673
I011136673I011136673
I011136673
IOSR Journals
 
G011134454
G011134454G011134454
G011134454
IOSR Journals
 
H011135565
H011135565H011135565
H011135565
IOSR Journals
 
F011134043
F011134043F011134043
F011134043
IOSR Journals
 
E011133639
E011133639E011133639
E011133639
IOSR Journals
 
D011132635
D011132635D011132635
D011132635
IOSR Journals
 
C011131925
C011131925C011131925
C011131925
IOSR Journals
 
B011130918
B011130918B011130918
B011130918
IOSR Journals
 
A011130108
A011130108A011130108
A011130108
IOSR Journals
 
I011125160
I011125160I011125160
I011125160
IOSR Journals
 
H011124050
H011124050H011124050
H011124050
IOSR Journals
 
G011123539
G011123539G011123539
G011123539
IOSR Journals
 
F011123134
F011123134F011123134
F011123134
IOSR Journals
 
E011122530
E011122530E011122530
E011122530
IOSR Journals
 
D011121524
D011121524D011121524
D011121524
IOSR Journals
 

More from IOSR Journals (20)

A011140104
A011140104A011140104
A011140104
 
M0111397100
M0111397100M0111397100
M0111397100
 
L011138596
L011138596L011138596
L011138596
 
K011138084
K011138084K011138084
K011138084
 
J011137479
J011137479J011137479
J011137479
 
I011136673
I011136673I011136673
I011136673
 
G011134454
G011134454G011134454
G011134454
 
H011135565
H011135565H011135565
H011135565
 
F011134043
F011134043F011134043
F011134043
 
E011133639
E011133639E011133639
E011133639
 
D011132635
D011132635D011132635
D011132635
 
C011131925
C011131925C011131925
C011131925
 
B011130918
B011130918B011130918
B011130918
 
A011130108
A011130108A011130108
A011130108
 
I011125160
I011125160I011125160
I011125160
 
H011124050
H011124050H011124050
H011124050
 
G011123539
G011123539G011123539
G011123539
 
F011123134
F011123134F011123134
F011123134
 
E011122530
E011122530E011122530
E011122530
 
D011121524
D011121524D011121524
D011121524
 

Recently uploaded

( Call  ) Girls Vasant Kunj Just 9873940964 High Class Model Shneha Patil
( Call  ) Girls Vasant Kunj Just 9873940964 High Class Model Shneha Patil( Call  ) Girls Vasant Kunj Just 9873940964 High Class Model Shneha Patil
( Call  ) Girls Vasant Kunj Just 9873940964 High Class Model Shneha Patil
kinni singh$A17
 
一比一原版(csulb文凭证书)美国加州州立大学长滩分校毕业证如何办理
一比一原版(csulb文凭证书)美国加州州立大学长滩分校毕业证如何办理一比一原版(csulb文凭证书)美国加州州立大学长滩分校毕业证如何办理
一比一原版(csulb文凭证书)美国加州州立大学长滩分校毕业证如何办理
feoud
 
PCA-solved problems.pptx helpful for ml .
PCA-solved problems.pptx helpful for ml .PCA-solved problems.pptx helpful for ml .
PCA-solved problems.pptx helpful for ml .
Sravani477269
 
Literature Reivew of Student Center Design
Literature Reivew of Student Center DesignLiterature Reivew of Student Center Design
Literature Reivew of Student Center Design
PriyankaKarn3
 
UNIT I INCEPTION OF INFORMATION DESIGN 20CDE09-ID
UNIT I INCEPTION OF INFORMATION DESIGN 20CDE09-IDUNIT I INCEPTION OF INFORMATION DESIGN 20CDE09-ID
UNIT I INCEPTION OF INFORMATION DESIGN 20CDE09-ID
GOWSIKRAJA PALANISAMY
 
一比一原版(skku毕业证)韩国成均馆大学毕业证如何办理
一比一原版(skku毕业证)韩国成均馆大学毕业证如何办理一比一原版(skku毕业证)韩国成均馆大学毕业证如何办理
一比一原版(skku毕业证)韩国成均馆大学毕业证如何办理
hahehot
 
Profiling of Cafe Business in Talavera, Nueva Ecija: A Basis for Development ...
Profiling of Cafe Business in Talavera, Nueva Ecija: A Basis for Development ...Profiling of Cafe Business in Talavera, Nueva Ecija: A Basis for Development ...
Profiling of Cafe Business in Talavera, Nueva Ecija: A Basis for Development ...
IJAEMSJORNAL
 
Analysis and Design of Algorithm Lab Manual (BCSL404)
Analysis and Design of Algorithm Lab Manual (BCSL404)Analysis and Design of Algorithm Lab Manual (BCSL404)
Analysis and Design of Algorithm Lab Manual (BCSL404)
VishalMore197390
 
PMSM-Motor-Control : A research about FOC
PMSM-Motor-Control : A research about FOCPMSM-Motor-Control : A research about FOC
PMSM-Motor-Control : A research about FOC
itssurajthakur06
 
Press Tool and It's Primary Components.pdf
Press Tool and It's Primary Components.pdfPress Tool and It's Primary Components.pdf
Press Tool and It's Primary Components.pdf
Tool and Die Tech
 
Development of Chatbot Using AI/ML Technologies
Development of  Chatbot Using AI/ML TechnologiesDevelopment of  Chatbot Using AI/ML Technologies
Development of Chatbot Using AI/ML Technologies
maisnampibarel
 
CONVEGNO DA IRETI 18 giugno 2024 | PASQUALE Donato
CONVEGNO DA IRETI 18 giugno 2024 | PASQUALE DonatoCONVEGNO DA IRETI 18 giugno 2024 | PASQUALE Donato
CONVEGNO DA IRETI 18 giugno 2024 | PASQUALE Donato
Servizi a rete
 
@Call @Girls Rajkot 0000000000 Priya Sharma Beautiful And Cute Girl any Time
@Call @Girls Rajkot  0000000000 Priya Sharma Beautiful And Cute Girl any Time@Call @Girls Rajkot  0000000000 Priya Sharma Beautiful And Cute Girl any Time
@Call @Girls Rajkot 0000000000 Priya Sharma Beautiful And Cute Girl any Time
mishratanu639
 
Enhancing Security with Multi-Factor Authentication in Privileged Access Mana...
Enhancing Security with Multi-Factor Authentication in Privileged Access Mana...Enhancing Security with Multi-Factor Authentication in Privileged Access Mana...
Enhancing Security with Multi-Factor Authentication in Privileged Access Mana...
Bert Blevins
 
Destructive_Testing_overview_1717035747.pdf
Destructive_Testing_overview_1717035747.pdfDestructive_Testing_overview_1717035747.pdf
Destructive_Testing_overview_1717035747.pdf
SANDIPCHAVAN80
 
GUIA_LEGAL_CHAPTER_4_FOREIGN TRADE CUSTOMS.pdf
GUIA_LEGAL_CHAPTER_4_FOREIGN TRADE CUSTOMS.pdfGUIA_LEGAL_CHAPTER_4_FOREIGN TRADE CUSTOMS.pdf
GUIA_LEGAL_CHAPTER_4_FOREIGN TRADE CUSTOMS.pdf
ProexportColombia1
 
this slide shows husien hanafy portfolio 6-2024
this slide shows husien hanafy portfolio 6-2024this slide shows husien hanafy portfolio 6-2024
this slide shows husien hanafy portfolio 6-2024
hessenhanafy1
 
DESIGN OF BEARINGS ANJANEYULU bridge bearing
DESIGN OF BEARINGS ANJANEYULU bridge bearingDESIGN OF BEARINGS ANJANEYULU bridge bearing
DESIGN OF BEARINGS ANJANEYULU bridge bearing
GokulKannan194051
 
Research Experience during my undergraduate study.pptx
Research Experience during my undergraduate study.pptxResearch Experience during my undergraduate study.pptx
Research Experience during my undergraduate study.pptx
gxz1691543945
 
Best Practices for Password Rotation and Tools to Streamline the Process
Best Practices for Password Rotation and Tools to Streamline the ProcessBest Practices for Password Rotation and Tools to Streamline the Process
Best Practices for Password Rotation and Tools to Streamline the Process
Bert Blevins
 

Recently uploaded (20)

( Call  ) Girls Vasant Kunj Just 9873940964 High Class Model Shneha Patil
( Call  ) Girls Vasant Kunj Just 9873940964 High Class Model Shneha Patil( Call  ) Girls Vasant Kunj Just 9873940964 High Class Model Shneha Patil
( Call  ) Girls Vasant Kunj Just 9873940964 High Class Model Shneha Patil
 
一比一原版(csulb文凭证书)美国加州州立大学长滩分校毕业证如何办理
一比一原版(csulb文凭证书)美国加州州立大学长滩分校毕业证如何办理一比一原版(csulb文凭证书)美国加州州立大学长滩分校毕业证如何办理
一比一原版(csulb文凭证书)美国加州州立大学长滩分校毕业证如何办理
 
PCA-solved problems.pptx helpful for ml .
PCA-solved problems.pptx helpful for ml .PCA-solved problems.pptx helpful for ml .
PCA-solved problems.pptx helpful for ml .
 
Literature Reivew of Student Center Design
Literature Reivew of Student Center DesignLiterature Reivew of Student Center Design
Literature Reivew of Student Center Design
 
UNIT I INCEPTION OF INFORMATION DESIGN 20CDE09-ID
UNIT I INCEPTION OF INFORMATION DESIGN 20CDE09-IDUNIT I INCEPTION OF INFORMATION DESIGN 20CDE09-ID
UNIT I INCEPTION OF INFORMATION DESIGN 20CDE09-ID
 
一比一原版(skku毕业证)韩国成均馆大学毕业证如何办理
一比一原版(skku毕业证)韩国成均馆大学毕业证如何办理一比一原版(skku毕业证)韩国成均馆大学毕业证如何办理
一比一原版(skku毕业证)韩国成均馆大学毕业证如何办理
 
Profiling of Cafe Business in Talavera, Nueva Ecija: A Basis for Development ...
Profiling of Cafe Business in Talavera, Nueva Ecija: A Basis for Development ...Profiling of Cafe Business in Talavera, Nueva Ecija: A Basis for Development ...
Profiling of Cafe Business in Talavera, Nueva Ecija: A Basis for Development ...
 
Analysis and Design of Algorithm Lab Manual (BCSL404)
Analysis and Design of Algorithm Lab Manual (BCSL404)Analysis and Design of Algorithm Lab Manual (BCSL404)
Analysis and Design of Algorithm Lab Manual (BCSL404)
 
PMSM-Motor-Control : A research about FOC
PMSM-Motor-Control : A research about FOCPMSM-Motor-Control : A research about FOC
PMSM-Motor-Control : A research about FOC
 
Press Tool and It's Primary Components.pdf
Press Tool and It's Primary Components.pdfPress Tool and It's Primary Components.pdf
Press Tool and It's Primary Components.pdf
 
Development of Chatbot Using AI/ML Technologies
Development of  Chatbot Using AI/ML TechnologiesDevelopment of  Chatbot Using AI/ML Technologies
Development of Chatbot Using AI/ML Technologies
 
CONVEGNO DA IRETI 18 giugno 2024 | PASQUALE Donato
CONVEGNO DA IRETI 18 giugno 2024 | PASQUALE DonatoCONVEGNO DA IRETI 18 giugno 2024 | PASQUALE Donato
CONVEGNO DA IRETI 18 giugno 2024 | PASQUALE Donato
 
@Call @Girls Rajkot 0000000000 Priya Sharma Beautiful And Cute Girl any Time
@Call @Girls Rajkot  0000000000 Priya Sharma Beautiful And Cute Girl any Time@Call @Girls Rajkot  0000000000 Priya Sharma Beautiful And Cute Girl any Time
@Call @Girls Rajkot 0000000000 Priya Sharma Beautiful And Cute Girl any Time
 
Enhancing Security with Multi-Factor Authentication in Privileged Access Mana...
Enhancing Security with Multi-Factor Authentication in Privileged Access Mana...Enhancing Security with Multi-Factor Authentication in Privileged Access Mana...
Enhancing Security with Multi-Factor Authentication in Privileged Access Mana...
 
Destructive_Testing_overview_1717035747.pdf
Destructive_Testing_overview_1717035747.pdfDestructive_Testing_overview_1717035747.pdf
Destructive_Testing_overview_1717035747.pdf
 
GUIA_LEGAL_CHAPTER_4_FOREIGN TRADE CUSTOMS.pdf
GUIA_LEGAL_CHAPTER_4_FOREIGN TRADE CUSTOMS.pdfGUIA_LEGAL_CHAPTER_4_FOREIGN TRADE CUSTOMS.pdf
GUIA_LEGAL_CHAPTER_4_FOREIGN TRADE CUSTOMS.pdf
 
this slide shows husien hanafy portfolio 6-2024
this slide shows husien hanafy portfolio 6-2024this slide shows husien hanafy portfolio 6-2024
this slide shows husien hanafy portfolio 6-2024
 
DESIGN OF BEARINGS ANJANEYULU bridge bearing
DESIGN OF BEARINGS ANJANEYULU bridge bearingDESIGN OF BEARINGS ANJANEYULU bridge bearing
DESIGN OF BEARINGS ANJANEYULU bridge bearing
 
Research Experience during my undergraduate study.pptx
Research Experience during my undergraduate study.pptxResearch Experience during my undergraduate study.pptx
Research Experience during my undergraduate study.pptx
 
Best Practices for Password Rotation and Tools to Streamline the Process
Best Practices for Password Rotation and Tools to Streamline the ProcessBest Practices for Password Rotation and Tools to Streamline the Process
Best Practices for Password Rotation and Tools to Streamline the Process
 

Implementation of Efficiency CORDIC Algorithmfor Sine & Cosine Generation

  • 1. IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-ISSN: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 5 (Jul. - Aug. 2013), PP 49-56 www.iosrjournals.org www.iosrjournals.org 49 | Page Implementation of Efficiency CORDIC Algorithmfor Sine & Cosine Generation P.Keerthi, ShaikJaffar (M.Tech),Vlsi Design Dept Of Electronics And Communications Madina Engineering College Kadapa, Andhra Pradesh. M.Tech, (Ph.D.) Miste, Mie, Assoc.ProfessorDeptOf Electronics And Communications Madina Engineering College Kadapa, Andhra Pradesh Abstract: This paper presents an area-time efficient coordinate rotation digital computer (CORDIC) algorithm that completely eliminates the scale-factor. A generalized micro-rotation selection technique based on high speed most-significant-1-detection obviates the complex search algorithms for identifying the micro-rotations. This algorithm is redefined as the elementary angles for reducing the number of CORDIC iterations. Compared to the existing re-cursive architectures the proposed one has 17% lower slice-delay product on Xilinx Spartan XC2S200E device. The CORDIC processor pro-vides the flexibility to manipulate the number of iterations depending on the accuracy, area and latency requirements. Index Terms—coordinate rotation digital computer (CORDIC), cosine/sine, field-programmable gate array(FPGA),most-significant-1, recursive architecture. I. Introduction For systems such as Calculator, keeping the size of calculator very small is of prime importance. For these systems the cost (e.g. chip gate count has to be minimized) is more important than speed. Also it is very important to calculate the values with good accuracy and precision. Though sometimes by increasing the bit length we can obtain better precision, but it is more important to select a method which gives more accurate results. The coordinate rotation digital computer (CORDIC) has established its popularity in several important areas of application, like generation of sine and cosine functions, calculation of discrete sinusoidal transforms like fast Fourier transform (FFT), discrete sine/cosine transforms (DST/DCT), householder transform (HT),etc. [1]–[3]. Manyvariations have been suggested for efficient implementation of CORDIC with less number of iterations over the conventional CORDIC algorithm.The number of CORDIC iterations are optimized in [4]–[6] by greedy search at the cost of additional areaand time for the implementation of variable scale-factor. In efficient scale-factor compensationtechniques are proposed, which adversely affect the latency/throughput of computation. Two area-time efficient CORDIC architectures have been suggested , which involve constant scale- factor multiplication for adequate range of convergence (RoC). The virtually scale- free CORDIC also requires multiplication by constant scale-factor and relatively more area to achieve respectable RoC. The enhanced scale-free CORDIC combines few conventional CORDIC iterations with scaling-free CORDIC iterations for an efficient pipelined CORDIC implementation with improved RoC. However, if used for recursive CORDIC architecture, combining two different types of CORDIC iterations, degrades performance. In this paper, we propose a novel scaling-free CORDIC algorithm for area-time efficient implementation of CORDIC with adequate RoC. II. Brief Overview Of Cordicalgorithm CORDIC (Coordinate Rotation Digital Computer) was introduced in 1959 by Jack E. Volder. It is very efficient to compute the values of sin, cos, sin, tan, sinh, Cosh, tanh. It’s a Hardware Efficient Algorithm. It is an iterative Algorithm for Circular Rotation. It requires no Multiplication. Delay/Hardware cost comparable to division or square rooting. Compared to other approaches, CORDIC is a clear winner when:Hardware Multiplier is unavailable (e.g. microcontroller),You wants to save the gates required to implement (e.g. FPGA).Its basic ideas is-Embedding of elementary function evaluation as a generalized rotation operation, Decompose rotation operation into successive basic rotations,Each basic rotation can be realized with shift and add arithmetic operations. Shift and-add arithmetic operations. To evaluate trigonometric functions we have many approaches suchas -1) Table lookup2) Polynomial Approximations3)CORDIC.
  • 2. Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation www.iosrjournals.org 50 | Page A. Taylor Series The Taylor series expansion for sine is: sin 𝑥 = 𝑥 − 𝑥3 3! + 𝑥5 5! − 𝑥7 7! + ⋯ This method is one of the oldest and most widely, but the problem associated with this method is, to get values of higher accuracies, higher order factorial and power has to be calculated. Moreover to implement this we would at least require a multiplier, divider, adder and a subtractor. For good accuracy it would be required to take each term incalculation till they become insignificant. Thus this approach has a lot of hardware requirements as well as it is slow. B. Look up Table The Lookup table approach involves storing values of sine and cosine at different angles. Based on the number of values stored, the lookup table can be big or small, but clearly, the smaller the lookup table, more is the error involved. The problem with a bigger lookup table is that it requires more memory and memory is expensive. Moreover the size of the Lookup table increases exponentially with the increase in the precision of the angle. Though this approach provides fast results it is very expensive to implement. C. Cordic Algorithm CORDIC is an acronym for Coordinate Rotation Digital Computer introduced by Jack E. Volder. It is an iterative algorithm capable of calculating trigonometric and various other functions. In this algorithm with the help of an adder/subtractor, a small look up table and a shifter the trigonometric functions can be calculated very easily. The advantage that Cordic offers over other algorithms are that it does not require multiplication or division blocks, instead it works only with a shifter, adder/subtractor and a small lookup table. This reduces the hardware requirement drastically and provides reasonably good speed. Many variations have been suggested for efficient implementation of CORDIC with less number of iterations over the conventional CORDIC algorithm [4]–[11]. The number of CORDIC iterations are optimized in [4]–[6] by greedy search at the cost of additional area and time for the implementation of variable scale- factor. In [7] and [8] efficient scale-factor compensation techniques are proposed, which adversely affect the latency/throughput of computation. Two area-time efficient CORDIC architectures have been suggested in [9], which involve constant scale-factor multiplication for adequate range of convergence (RoC). The virtually scale-free CORDIC in [10] also requires multiplication by constant scale-factor and relatively more area to achieve respectable RoC. The enhanced scale-free CORDIC in [11] combines few conventional CORDIC iterations with scaling-free CORDIC iterations for an efficient pipelined CORDIC implementation with improved RoC. However, if used for recursive CORDIC architecture, combining two different types of CORDIC iterations, degrades performance. The low complexity technique for eliminating the scale factor is the use of Taylor series expansion. The Scaling-Free CORDIC and modified scale-free CORDIC are techniques based on Taylor series approach. The former suffers from low range of convergence (RoC) which renders it unsuitable for practical applications, while the latter extends the RoC but introduces predictable but constant scale-factor of 1/ 2. The other hardware efficient architectures require scale-factor compensations to extend the range of convergence to the entire coordinate space. III. Sequential/Iterative Cordic It requires Maximum number of Clock Cycles to calculate output,Minimum Clock Period periteration,Variable Shifters do not map well on certain FPGA’s due to high Fan-in.
  • 3. Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation www.iosrjournals.org 51 | Page Fig:1.Variable Shifters Parallel/Cascaded CORDIC: It hasCombinational circuit More Delay, but processing time is reduced as compared to iterative circuit.Shifters are of fixed shift, so they can be implemented in the wiring.Constants can be hardwired instead of requiring storage space. Fig.2. Parallel/Cascaded CORDIC Coordinate Rotation Digital Computer is abbreviated as CORDIC. The key concept of CORDIC arithmetic is based on the simple and ancient principles of two-dimensional geometry. But the iterative formulation of a computational algorithm for its implementation was first described in 1959 by Jack E. Volder for the computation of trigonometric functions, multiplication and division. This year therefore marks the completion of 50 years of the CORDIC algorithm. Not only a wide variety of applications of CORDIC have emerged in the last 50 years, but also a lot of progress has been made in the area of algorithm design and development of architectures for high performance and low-cost hardware solutions of those applications. CORDIC-based computing received increased attention in 1971, by varying a few simple parameters; it could be used as a single algorithm for unified implementation of a wide range of elementary transcendental functionsinvolving logarithms, exponentials, and square roots along with those suggested by Volder. During the same time, Cochran benchmarked various algorithms, and showed that CORDIC technique is a better choice for scientific calculator applications. The popularity of CORDIC was very much enhanced thereafter primarily due to itspotential for efficient and low-cost implementation of a large class of applications which include: the generationof trigonometric, logarithmicand transcendental elementary functions; complex number multiplication, eigenvalue computation, matrix inversion, solution of linear systems and singular value decomposition (SVD) for signal processing, image processing, and general scientific computation. The name CORDIC stands for Coordinate Rotation Digital Computer. Volder [Vold59] developed the underlying method of computing the rotation of a vector in a Cartesian coordinate system and evaluating the length and angle of a vector. The CORDIC method was later expanded for multiplication, division, logarithm, exponential and hyperbolic functions.
  • 4. Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation www.iosrjournals.org 52 | Page IV. Pipelined Architecture The principle of pipelining has emerged as a major architectural attribute of most present computer systems.Pipelining is one form of imbedding parallelism or concurrency in a computer system. It refers to a segmentation of a computational process (say, an instruction) into several sub processes which are executed by dedicated autonomous units (facilities, pipelining segments) Fig.3.Pipe line architecture logical view Parallel CORDIC can be pipelined by inserting registers between the adders stages. In most FPGA architectures there are already registers present in each logic cell, so pipeline registers has no hardware cost. Number of stages after which pipeline register is inserted can be modeled, considering clock frequency of system.When operating at greater clock period power consumption in later stages reduces due to lesser switching activity in each clock period. V. Proposed Algorithm For Scaling Free Cordic : The proposed design is based on the following key ideas: 1) we use Taylor series expansion of sine and cosine functions to avoid scaling operation and 2) suggest a generalized sequence of micro-rotation to have adequate range of convergence (RoC) based on the chosen order of approximation of the Taylor series. A.Taylor Series Approximation of Sine and Cosine Functions The Taylor expansions of sine and cosine of an angle “-” are given by sin ∝= ∝ − 3! −1 ∝3 + 5! −1 ∝5 − ⋯ cos ∝ = 1 − 2! −1 ∝2 + 4! −1 ∝4 − ⋯ We have estimated the maximum error in the evaluation of sine and cosine functions for different order of approximations. Therefore, we choose third order of approximation for Taylor’s expansion of sine and cosine functions. 1) Representation of Micro-Rotations Using Taylor Series Approximation: Here, we study the impact of orders of approximation ofTaylor series of sine and cosine functions on the micro-rotations to beused in CORDIC coordinate calculation. Both theoretical and simulationresults are discussed to confirm the appropriate selection of theorder of approximation. Using different orders of approximation of sineand cosine functions in (2), we can have 𝑥𝑖+1 = 1 − ∝2 𝑖 2! . 𝑥𝑖 − ∝𝑖− ∝3 𝑖 3! . 𝑦𝑖 𝑦𝑖+1 = 1 − ∝2 𝑖 2! . 𝑦𝑖 + ∝𝑖− ∝3 𝑖 3! . 𝑥𝑖 (1a) 𝑥𝑖+1 = 1 − ∝2 𝑖 2! + ∝4 𝑖 4! . 𝑥𝑖 − ∝𝑖− ∝3 𝑖 3! . 𝑦𝑖 𝑦𝑖+1 = 1 − ∝2 𝑖 2! + ∝4 𝑖 4! . 𝑦𝑖 + ∝𝑖− ∝3 𝑖 3! . 𝑥𝑖(1b) 𝑥𝑖+1 = 1 − ∝2 𝑖 2! + ∝4 𝑖 4! . 𝑥𝑖 − ∝𝑖− ∝3 𝑖 3! + ∝5 𝑖 5! . 𝑦𝑖
  • 5. Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation www.iosrjournals.org 53 | Page 𝑦𝑖+1 = 1 − ∝2 𝑖 2! + ∝4 𝑖 4! . 𝑦𝑖 + ∝𝑖− ∝3 𝑖 3! + ∝5 𝑖 5! . 𝑥𝑖 (1c)𝑥𝑖+1 = 1 − ∝2 𝑖 2! + ∝4 𝑖 4! − ∝6 𝑖 6! . 𝑥𝑖 − ∝𝑖− ∝3 𝑖 3! + ∝5𝑖5!.𝑦𝑖 𝑦𝑖+1 = 1 − ∝2 𝑖 2! + ∝4 𝑖 4! − ∝6 𝑖 6! . 𝑦𝑖 + ∝𝑖− ∝3 𝑖 3! + ∝5 𝑖 5! . 𝑥𝑖(1d) 𝑥𝑖+1 = 1 − ∝2 𝑖 2! + ∝4 𝑖 4! − ∝6 𝑖 6! . 𝑥𝑖 − ∝𝑖− ∝3 𝑖 3! + ∝5 𝑖 5! − ∝7 𝑖 7! . 𝑦𝑖 𝑦𝑖+1 = 1 − ∝2 𝑖 2! + ∝4 𝑖 4! − ∝6 𝑖 6! . 𝑦𝑖 + ∝𝑖− ∝3 𝑖 3! + ∝5 𝑖 5! − ∝7 𝑖 7! . 𝑥𝑖 (1e) We have used (1) for coordinate calculation for evaluating the best possible combination of approximation, which satisfies the accuracy and RoC requirements, with minimum possible hardware. In Fig. 1,we have plotted the error in magnitude estimated according to (1) (with respect to the corresponding built-in functions of MATLAB). Since Errors resulting from the five combinations (1a)–(1e) are of very small order, we prefer to use (1a) for coordinate calculation with minimum complexity. 2) Expressions for Micro-Rotations Using Taylor Series Approximation and Factorial Approximation: Although, we find that we canuse Taylor series expansion with third order of approximation (1a),with desired accuracy and RoC requirement, (1a)cannot be used inthe CORDIC shift-add iterations. To implement (1a) by shift-add operations,we need to approximate the factorial terms by the power of 2values, replacing 3! by 2^3 in the (1a) we find 𝑥𝑖+1 𝑦𝑖+1 = 1 − 2! −1 . ∝𝑖 2 −(∝𝑖− 2−3 ∝𝑖 3 ) (∝𝑖− 2−3 ∝𝑖 3 ) (1 − 2! −1 . ∝𝑖 2 ) . 𝑥𝑖 𝑦𝑖 (2) In Fig. 1 only, we have plotted the error in magnitude using the approximated factorial values and exact factorial values after a CORDIC rotation for initial vector with coordinates X=1 and Y=1. The maximum percentage of error in sine and cosine values for both third order of approximation and factorial approximation is 0.0004% and 0.0168%, respectively, within the permissible CORDIC elementary angles range of 0, 7𝜋 88 discussed. B. Determination of the Basic-Shift for a Given Order of Approximation of Taylor Series Expansion One can find that: 1) the order of approximation of Taylor series expansion of sine and cosine functions determines the basic-shift to be used for CORDIC iterations, and 2) the basic-shift of CORDIC microoperation determines the range of convergence. The expressions for the basic-shifts, the first elementary angle of rotation ∝1 and RoCfor different orders of approximations for different word-length of implementations are as follows: Basic shift S= 𝑏−log 2 𝑛+1 ! (𝑛+1) (3a) Where b is the wordlength ROC=𝑛1. ∝1 (3b) N is number of micro rotations ∝1= 2−𝑠 (3c) The values in Table I are derived from (3). We find with increase in the order of approximation, the basic-shift decreases, the first elementary angle of rotation increases and RoC is expanded. Very often inclusion of higher order terms does not have any impact on the accuracy for smaller word-lengths. The basic-shift for third order of approximation using (3a), for 16-bit word-length is [2.854]. TABLE I COMPARISION OF APPROXIMATION ORDERS VERSUS ROC FOR VARIOUS BIT WIDTHS BASED ON(7) Order of Approx. Basic shift First Elementary Angle (Radians) RoC for 𝑛1=4 (Radians) 16-bit 32-bit 16-bit 32-bit 16-bit 32-bit 3 2 6 0.25 0.01562 1 0.0625 4 1 5 0.5 0.03125 2 0.125 5 1 3 0.5 0.125 2 0.5
  • 6. Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation www.iosrjournals.org 54 | Page TABLE II BIT REPRESENTATION OF ELEMENTARY ANGLES AND CORRESPONDING SHIFTS Shift (si) Elementary angle(𝛼𝑖) Decimal 16-bit HexaDecimal 2 0.25 4000 3 0.125 2000 4 0.0625 1000 5 0.03125 0800 In this paper, we propose a novel scaling-free CORDIC algorithm for area-time efficient implementation of CORDIC with adequate RoC. The proposed recursive architecture has comparable or less area complexity with other existing scaling-free CORDIC algorithms. Moreover, no scale-factor multiplications are required for extending the RoC to entire coordinate Space. TABLE III PSEUDO CODE FOR GENERATING THE MICRO-ROTATION SEQUENCE Input: angle to be rotated 𝜃𝑖 Begin M=Most significant-1location(𝜃𝑖) If(M==15)then α=0.25 radians shift,𝑠𝑖 = 2 𝑎𝑛𝑑𝜃𝑖+1 = 𝜃𝑖 − 𝛼 else shift,𝑠𝑖=16-M 𝜃𝑖+1 = 𝜃𝑖with𝜃𝑖[M]=’0’ END VI. Proposed Cordic Architecture The block diagram for the proposed CORDIC architecture is shown in Fig. below. It makes use of the same stage for all the iterations for the coordinate calculations, as well as for the generation of shift values. The structure of each stage (shown in Fig. 5) consists of three computing blocks namely the 1) shift-value estimation; 2) coordinate calculation;and 3) micro-rotation sequence generator. Fig.4. Recursive architecture of the proposed CORDIC processor. Fig. 5. Block diagram for the stage. The combinatorial circuit for generating the micro-rotation sequence is shown in Fig. 4. The number of iterations required in a CORDIC processor decides the rollover count of the counter. The rollover count is seven for basic shift =2 and ten for basic-shift =3.
  • 7. Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation www.iosrjournals.org 55 | Page Fig. 6.Combinatorial circuit for generating the shift values. The expiry of the counter signals the completion of a CORDIC operation; depending on this signal, the multiplexer either loads a new data-set (rotation angle,initial value of and “x”and”y”) to start a fresh CORDIC operation, or recycles the output of the stage to begin a new iteration for the current CORDIC operation. The input and output register files act as latches for synchronization. Fig. 7.Micro-rotation sequence generation. VI. Fpga Implementation The proposed architecture is coded in Verilog and synthesized using Xilinx ISE9.2i to be implemented in Xilinx Spartan 2E (XC2S200EPQ208- 6) device. Slice-delay-product of the proposed architecture is compared with the existing CORDIC designs in Table IV; where, all designs are synthesized on Xilinx Spartan 2E XC2S200E device to maintain uniformity. The power dissipation of the proposed architecture for different clock frequencies is estimated by Xilinx XPower tool. VII. Experimental Result And Discussion TABLE IV SLICE DELAY PRODUCT Slice-delay-product of the proposed architecture is compared with the existing CORDIC designs in TableIVis suggested to reduce the number of iterations for low latency implementation. The proposed CORDIC processor has 17% lower slice-delay product for identifying the micro-rotations. VIII. Conclusion The proposed algorithm provides a scale-free solution for realizing vector-rotations using CORDIC. The order of Taylor series approximation is decided appropriately by the proposed algorithm, not only to meet the accuracy requirement but also to attain adequate range of convergence. The generalized micro-rotation selection technique is suggested to reduce the number of iterations for low latency implementation. Moreover, a high speed most-significant-1 detection scheme obviates the complex search algorithms for identifying the micro-rotations. The proposed CORDIC processor has 17% lower slice-delay product with a penalty of about 13% increased slice consumption on Xilinx Spartan 2E device. Logic Utilization Used Available Utilizatio n Number of Slices 958 5472 17% Number of Slice Flip Flops 862 10944 7% Number of 4 input LUTs 1749 10944 15% Number of bonded IOBs 57 240 23% Number of GCLKs 1 32 3%
  • 8. Implementation of Efficiency CORDIC Algorithm For Sine & Cosine Generation www.iosrjournals.org 56 | Page References [1] J. E. Volder, “The CORDIC trigonometric computing technique,” IRE Trans. Electron. Comput., vol. EC-8, pp. 330–334, Sep. 1959. [2] K. Maharatna, A. S. Dhar, and S. Banerjee, “A VLSI array architecture for realization of DFT, DHT, DCT and DST,” Signal Process., vol. 81, pp. 1813–1822, 2001. [3] P. K. Meher, J.Walls, T.-B. Juang, K. Sridharan, and K. Maharatna, “50 years of CORDIC: Algorithms, architectures and applications,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 9, pp. 1893–1907, Sep. 2009. [4] C. S. Wu and A. Y. Wu, “Modified vector rotational CORDIC (MVRCORDIC) algorithm and architecture,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 48, no. 6, pp. 548–561, Jun. 2001. [5] C.-S.Wu, A.-Y.Wu, and C.-H. Lin, “A high-performance/low-latency vector rotational CORDIC architecture based on extended elementary angle set and trellis-based searching schemes,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process, vol. 50, no. 9, pp. 589 601, Sep.2003. [6] Y. H. Hu and S. Naganathan, “An angle recoding method for CORDIC algorithm implementation,” IEEE Trans. Compute., vol. 42, no. 1, pp. 99–102, Jan. 1993. [7] M. G. B. Sumanasena, “A scale factor correction scheme for the CORDIC algorithm,” IEEE Trans. Compute., vol. 57, no. 8, pp. 1148–1152, Aug. 2008. [8] J. Villalba, T. Lang, and E. L. Zapata, “Parallel compensation of scale factor for the CORDIC algorithm,” J. VLSI Signal Process. Syst., vol. 19, no. 3, pp. 227–241, Aug. 1998. [9] L. Vachhani, K. Sridharan, and P. K. Meher, “Efficient CORDIC algorithms and architectures for low area and high throughput implementation,” IEEE Trans. Circuit Syst. II, Exp. Briefs, vol. 56, no. 1, pp. 61–65,Jan. 2009. [10] K. Maharatna, S. Banerjee, E. Grass, M. Krstic, and A. Troya, “Modifiedvirtually scaling-free adaptive CORDIC rotator algorithm and architecture,”IEEE Trans. Circuits Syst. Video Technol., vol. 11, no. 11,pp. 1463–1474, Nov. 2005. [11] F. J. Jaime, M. A. Sanchez, J. Hormigo, J. Villalba, and E. L. Zapata, “Enhanced scaling-free CORDIC,” IEEE Trans. Circuits. BIOGRAPHIES P.Keerthi, received B.Tech degree in Electronics & Communication Engineering from Madanapalle Institute of Technology and Science, Madanapalle,in 2011.Worked as Assistant Professor in MITS for 6 months. She is now M.Tech scholarin VLSI Design,Madina Engineering College,Kadapa,AP. Shaik.Jaffar receivedB.Techdegree in Electronics & Communication Engineering in 1991,M.TechElectronics & Communication Engineering in 2002.He is currently doing research in JNTUA University.He is having an experience of15years,in the field of teaching, presently workingas Assco. Professor in the department of ECE, MadinaEngg College,Kadapa. He is a life time memberof MIE&MISTE.