Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
 
 
Sign in to use this feature.

Years

Between: -

Subjects

remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline

Journals

remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline

Article Types

Countries / Regions

remove_circle_outline
remove_circle_outline
remove_circle_outline

Search Results (506)

Search Parameters:
Keywords = memristors

Order results
Result details
Results per page
Select all
Export citation of selected articles as:
13 pages, 2344 KiB  
Article
The Fabrication of Polyimide-Based Tunable Charge Traps Ternary Memristors Doped with Ni-Co Coated Carbon Composite Nanofibers
by Yuanyuan Liu, Liyuan Liu, He Zhao and Jinghua Yin
Polymers 2024, 16(21), 2993; https://doi.org/10.3390/polym16212993 - 25 Oct 2024
Abstract
In the dynamic fields of information science and electronic technology, there is a notable trend towards leveraging carbon materials, favored for their ease of synthesis, biocompatibility, and abundance. This trend is particularly evident in the development of memristors, benefiting from the unique electronic [...] Read more.
In the dynamic fields of information science and electronic technology, there is a notable trend towards leveraging carbon materials, favored for their ease of synthesis, biocompatibility, and abundance. This trend is particularly evident in the development of memristors, benefiting from the unique electronic properties of carbon to enhance device performance. This study utilizes sensitized chemical evaporation and spin-coating carbonization techniques to fabricate nickel-cobalt coated carbon composite nanofibers (SC-NCMNTs). Novel polyimide (PI) matrix composite memory devices were fabricated using in situ polymerization technology. Transmission electron microscopy (TEM) and micro-Raman spectroscopy analyses validated the presence of dual interface structures located between the Ni-Co-MWNTs, carbon composite nanofibers, and PI matrix, revealing a significant number of defects within the SC-NCMNTs/PI composite films. Consequently, this results in a tunable charge trap-based ternary resistive switching behavior of the composite memory devices, exhibiting a high ON/OFF current ratio of 104 and a retention time of 2500 s at an operating voltage of less than 3 V. The mechanism of resistive switching is thoroughly elucidated through a comprehensive charge transport model, incorporating molecular orbital energy levels. This study provides valuable insights for the rational design and fabrication of efficient memristors characterized by multilevel resistive switching states. Full article
(This article belongs to the Section Polymer Composites and Nanocomposites)
Show Figures

Figure 1

19 pages, 6656 KiB  
Article
Dynamic Analysis and FPGA Implementation of Fractional-Order Hopfield Networks with Memristive Synapse
by Andrés Anzo-Hernández, Ernesto Zambrano-Serrano, Miguel Angel Platas-Garza and Christos Volos
Fractal Fract. 2024, 8(11), 628; https://doi.org/10.3390/fractalfract8110628 - 24 Oct 2024
Abstract
Memristors have become important components in artificial synapses due to their ability to emulate the information transmission and memory functions of biological synapses. Unlike their biological counterparts, which adjust synaptic weights, memristor-based artificial synapses operate by altering conductance or resistance, making them useful [...] Read more.
Memristors have become important components in artificial synapses due to their ability to emulate the information transmission and memory functions of biological synapses. Unlike their biological counterparts, which adjust synaptic weights, memristor-based artificial synapses operate by altering conductance or resistance, making them useful for enhancing the processing capacity and storage capabilities of neural networks. When integrated into systems like Hopfield neural networks, memristors enable the study of complex dynamic behaviors, such as chaos and multistability. Moreover, fractional calculus is significant for their ability to model memory effects, enabling more accurate simulations of complex systems. Fractional-order Hopfield networks, in particular, exhibit chaotic and multistable behaviors not found in integer-order models. By combining memristors with fractional-order Hopfield neural networks, these systems offer the possibility of investigating different dynamic phenomena in artificial neural networks. This study investigates the dynamical behavior of a fractional-order Hopfield neural network (HNN) incorporating a memristor with a piecewise segment function in one of its synapses, highlighting the impact of fractional-order derivatives and memristive synapses on the stability, robustness, and dynamic complexity of the system. Using a network of four neurons as a case study, it is demonstrated that the memristive fractional-order HNN exhibits multistability, coexisting chaotic attractors, and coexisting limit cycles. Through spectral entropy analysis, the regions in the initial condition space that display varying degrees of complexity are mapped, highlighting those areas where the chaotic series approach a pseudo-random sequence of numbers. Finally, the proposed fractional-order memristive HNN is implemented on a Field-Programmable Gate Array (FPGA), demonstrating the feasibility of real-time hardware realization. Full article
Show Figures

Figure 1

19 pages, 2406 KiB  
Article
FPGA Realization of a Fractional-Order Model of Universal Memory Elements
by Opeyemi-Micheal Afolabi, Vincent-Ademola Adeyemi, Esteban Tlelo-Cuautle and Jose-Cruz Nuñez-Perez
Fractal Fract. 2024, 8(10), 605; https://doi.org/10.3390/fractalfract8100605 - 18 Oct 2024
Viewed by 629
Abstract
This paper addresses critical gaps in the digital implementations of fractional-order memelement emulators, particularly given the challenges associated with the development of solid-state devices using nanomaterials. Despite the potentials of these devices for industrial applications, the digital implementation of fractional-order models has received [...] Read more.
This paper addresses critical gaps in the digital implementations of fractional-order memelement emulators, particularly given the challenges associated with the development of solid-state devices using nanomaterials. Despite the potentials of these devices for industrial applications, the digital implementation of fractional-order models has received limited attention. This research contributes to bridging this knowledge gap by presenting the FPGA realization of the memelements based on a universal voltage-controlled circuit topology. The digital emulators successfully exhibit the pinched hysteresis behaviors of memristors, memcapacitors, and meminductors, showing the retention of historical states of their constitutive electronic variables. Additionally, we analyze the impact of the fractional-order parameters and excitation frequencies on the behaviors of the memelements. The design methodology involves using Xilinx System Generator for DSP blocks to lay out the architectures of the emulators, with synthesis and gate-level implementation performed on the Xilinx Artix-7 AC701 Evaluation kit, where resource utilization on hardware accounts for about 1% of available hardware resources. Further hardware analysis shows successful timing validation and low power consumption across all designs, with an average on-chip power of 0.23 Watts and average worst negative slack of 0.6 ns against a 5 ns constraint. We validate these results with Matlab 2020b simulations, which aligns with the hardware models. Full article
(This article belongs to the Section Engineering)
Show Figures

Figure 1

13 pages, 4439 KiB  
Article
Stochastic Memristor Modeling Framework Based on Physics-Informed Neural Networks
by Kyeongmin Kim and Jonghwan Lee
Appl. Sci. 2024, 14(20), 9484; https://doi.org/10.3390/app14209484 - 17 Oct 2024
Viewed by 391
Abstract
In this paper, we present a framework of modeling memristor noise for circuit simulators using physics-informed neural networks (PINNs). The variability of the memristor that is directly related to the neuromorphic system can be handled with this approach. The memristor noise model is [...] Read more.
In this paper, we present a framework of modeling memristor noise for circuit simulators using physics-informed neural networks (PINNs). The variability of the memristor that is directly related to the neuromorphic system can be handled with this approach. The memristor noise model is transformed into a Fokker–Planck equation (FPE) from a probabilistic perspective. The translated equations are physically interpreted through the PINN. The weights and biases extracted from the PINN are implemented in Verilog-A through simple operations. The characteristics of the stochastic system under the noise are obtained by integrating the probability density function. This approach allows for the unification of different memristor models and the analysis of the effects of noise. Full article
(This article belongs to the Special Issue Novel Applications of Machine Learning and Bayesian Optimization)
Show Figures

Figure 1

13 pages, 3035 KiB  
Article
Study of Weight Quantization Associations over a Weight Range for Application in Memristor Devices
by Yerim Kim, Hee Yeon Noh, Gyogwon Koo, Hyunki Lee, Sanghan Lee, Rock-Hyun Choi, Shinbuhm Lee, Myoung-Jae Lee and Hyeon-Jun Lee
Micromachines 2024, 15(10), 1258; https://doi.org/10.3390/mi15101258 - 15 Oct 2024
Viewed by 414
Abstract
The development of hardware-based cognitive computing systems critically hinges upon the integration of memristor devices capable of versatile weight expression across a spectrum of resistance levels while preserving consistent electrical properties. This investigation aims to explore the practical implementation of a digit recognition [...] Read more.
The development of hardware-based cognitive computing systems critically hinges upon the integration of memristor devices capable of versatile weight expression across a spectrum of resistance levels while preserving consistent electrical properties. This investigation aims to explore the practical implementation of a digit recognition system utilizing memristor devices with minimized weighting levels. Through the process of weight quantization for digits represented by 25 or 49 input signals, the study endeavors to ascertain the feasibility of digit recognition via neural network computation. The integration of memristor devices into the system architecture is poised to streamline the representation of the resistors required for weight expression, thereby facilitating the realization of neural-network-based cognitive systems. To minimize the information corruption in the system caused by weight quantization, we introduce the concept of “weight range” in this work. The weight range is the range between the maximum and minimum values of the weights in the neural network. We found that this has a direct impact on weight quantization, which reduces the number of digits represented by a weight below a certain level. This was found to help maintain the information integrity of the entire system despite the reduction in weight levels. Moreover, to validate the efficacy of the proposed methodology, quantized weights are systematically applied to an array of double-layer neural networks. This validation process involves the construction of cross-point array circuits with dimensions of 25 × 10 and 10 × 10, followed by a meticulous examination of the resultant changes in the recognition rate of randomly generated numbers through device simulations. Such endeavors contribute to advancing the understanding and practical implementation of hardware-based cognitive computing systems leveraging memristor devices and weight quantization techniques. Full article
(This article belongs to the Special Issue Thin Film Microelectronic Devices and Circuits)
Show Figures

Figure 1

10 pages, 6089 KiB  
Article
Reset-Voltage Controlled Resistance-State and Applications of Forming-Free Fe-Doped SrTiO3 Thin-Film Memristor
by Ke-Jing Lee, Cheng-Hua Wu, Cheng-Jung Lee, Dei-Wei Chou, Na-Fu Wang and Yeong-Her Wang
Materials 2024, 17(20), 5021; https://doi.org/10.3390/ma17205021 - 14 Oct 2024
Viewed by 333
Abstract
In this study, we prepared a strontium ferrite titanate (STF) thin film using a sol–gel process to insulate resistive random-access memory (RRAM) applications. Compared to the typical strontium titanate (STO) RRAM, the improvement in the resistive switching characteristics in STF RRAM is obvious. [...] Read more.
In this study, we prepared a strontium ferrite titanate (STF) thin film using a sol–gel process to insulate resistive random-access memory (RRAM) applications. Compared to the typical strontium titanate (STO) RRAM, the improvement in the resistive switching characteristics in STF RRAM is obvious. The Al/STO/ITO/Glass RRAM set/reset voltages of −1.4 V/+3.3 V and the Al/STF/ITO/Glass RRAM set/reset voltages of −0.45 V/+1.55 V presented a memory window larger than 103, a low operating voltage and device stability of more than 104 s. In this study, the influence of Fe on the conducting paths and the bipolar resistive switching properties of Al/STF/ITO/Glass RRAM devices is investigated. Full article
Show Figures

Figure 1

15 pages, 3953 KiB  
Article
A Memristor-Based Circuit with the Loser-Take-All Mechanism for Classification
by Gaoyong Han, Qinfei Yang and Yuanpeng Xu
Electronics 2024, 13(19), 3900; https://doi.org/10.3390/electronics13193900 - 2 Oct 2024
Viewed by 371
Abstract
Traditional multi-class classification circuits mostly use the mechanism of winner-take-all. In this paper, a memristor-based classification circuit with the loser-take-all mechanism is designed. The winner-take-all mechanism selects the most active neuron or signal while suppressing others, whereas the loser-take-all mechanism suppresses the most [...] Read more.
Traditional multi-class classification circuits mostly use the mechanism of winner-take-all. In this paper, a memristor-based classification circuit with the loser-take-all mechanism is designed. The winner-take-all mechanism selects the most active neuron or signal while suppressing others, whereas the loser-take-all mechanism suppresses the most active and amplifies weaker signals. The goal of the loser-take-all mechanism is to determine which class an item does not belong to, rather than to determine which class the item belongs to. The loser-take-all mechanism can use relatively undemanding criteria to correctly classify the majority of categories that are misclassified by the winner-take-all mechanism. The designed circuit includes input modules, control modules and suppression modules which realize the multi-classification function based on the loser-take-all mechanism. The simulation results in Cadence show that the circuit can be used to realize complicated classification applications. The memristor-based classification circuit with the loser-take-all mechanism can capture the subtle nuances of various categories and provide a flexible approach to classification tasks. Full article
Show Figures

Figure 1

12 pages, 3522 KiB  
Article
Enhancing the Resistive Switching Properties of Transparent HfO2-Based Memristor Devices for Reliable Gasistor Applications
by Taegi Kim, Doowon Lee, Myoungsu Chae, Kyeong-Heon Kim and Hee-Dong Kim
Sensors 2024, 24(19), 6382; https://doi.org/10.3390/s24196382 - 1 Oct 2024
Viewed by 502
Abstract
We present a transparent memristor with a rough-surface (RS) bottom electrode (BE) with enhanced performance and reliability for a gasistor, which is a gas sensor plus a memristor, and its application in this paper. The transparent memristor, with an RS BE, exhibited low [...] Read more.
We present a transparent memristor with a rough-surface (RS) bottom electrode (BE) with enhanced performance and reliability for a gasistor, which is a gas sensor plus a memristor, and its application in this paper. The transparent memristor, with an RS BE, exhibited low forming voltages (0.8 V) and a stable resistive switching behavior, with high endurance and an on/off ratio of about 125. This improvement is due to the better control of the electric field distribution and the oxygen vacancy concentration when applying the RS BE to transparent memristors. Maintaining the stability of the conducting filament in an ambient air environment for extended periods of time is crucial for the application of memristors as gasistors. The memristor with an RS BE demonstrates an ability to sustain a stable-current state for approximately 104 s. As a result, it is shown that the proposed transparent memristor with an RS BE can significantly enhance the device’s reliability for gasistor applications. Full article
(This article belongs to the Special Issue Sensors from Miniaturization of Analytical Instruments(2nd Edition))
Show Figures

Figure 1

27 pages, 7049 KiB  
Review
Quantum Dots for Resistive Switching Memory and Artificial Synapse
by Gyeongpyo Kim, Seoyoung Park and Sungjun Kim
Nanomaterials 2024, 14(19), 1575; https://doi.org/10.3390/nano14191575 - 29 Sep 2024
Viewed by 755
Abstract
Memristor devices for resistive-switching memory and artificial synapses have emerged as promising solutions for overcoming the technological challenges associated with the von Neumann bottleneck. Recently, due to their unique optoelectronic properties, solution processability, fast switching speeds, and low operating voltages, quantum dots (QDs) [...] Read more.
Memristor devices for resistive-switching memory and artificial synapses have emerged as promising solutions for overcoming the technological challenges associated with the von Neumann bottleneck. Recently, due to their unique optoelectronic properties, solution processability, fast switching speeds, and low operating voltages, quantum dots (QDs) have drawn substantial research attention as candidate materials for memristors and artificial synapses. This review covers recent advancements in QD-based resistive random-access memory (RRAM) for resistive memory devices and artificial synapses. Following a brief introduction to QDs, the fundamental principles of the switching mechanism in RRAM are introduced. Then, the RRAM materials, synthesis techniques, and device performance are summarized for a relative comparison of RRAM materials. Finally, we introduce QD-based RRAM and discuss the challenges associated with its implementation in memristors and artificial synapses. Full article
(This article belongs to the Special Issue Nanostructured Materials for Electric Applications)
Show Figures

Figure 1

10 pages, 6834 KiB  
Article
A Rectified Linear Unit-Based Memristor-Enhanced Morris–Lecar Neuron Model
by Othman Abdullah Almatroud, Viet-Thanh Pham and Karthikeyan Rajagopal
Mathematics 2024, 12(19), 2970; https://doi.org/10.3390/math12192970 - 25 Sep 2024
Viewed by 414
Abstract
This paper introduces a modified Morris–Lecar neuron model that incorporates a memristor with a ReLU-based activation function. The impact of the memristor on the dynamics of the ML neuron model is analyzed using bifurcation diagrams and Lyapunov exponents. The findings reveal chaotic behavior [...] Read more.
This paper introduces a modified Morris–Lecar neuron model that incorporates a memristor with a ReLU-based activation function. The impact of the memristor on the dynamics of the ML neuron model is analyzed using bifurcation diagrams and Lyapunov exponents. The findings reveal chaotic behavior within specific parameter ranges, while increased magnetic strength tends to maintain periodic dynamics. The emergence of various firing patterns, including periodic and chaotic spiking as well as square-wave and triangle-wave bursting is also evident. The modified model also demonstrates multistability across certain parameter ranges. Additionally, the dynamics of a network of these modified models are explored. This study shows that synchronization depends on the strength of the magnetic flux, with synchronization occurring at lower coupling strengths as the magnetic flux increases. The network patterns also reveal the formation of different chimera states, such as traveling and non-stationary chimera states. Full article
(This article belongs to the Special Issue Chaotic Systems and Their Applications, 2nd Edition)
Show Figures

Figure 1

13 pages, 3619 KiB  
Article
Flexible Artificial Ag NPs:a–SiC0.11:H Synapse on Al Foil with High Uniformity and On/Off Ratio for Neuromorphic Computing
by Zongyan Zuo, Chengfeng Zhou, Zhongyuan Ma, Yufeng Huang, Liangliang Chen, Wei Li, Jun Xu and Kunji Chen
Nanomaterials 2024, 14(18), 1474; https://doi.org/10.3390/nano14181474 - 10 Sep 2024
Viewed by 613
Abstract
A neuromorphic computing network based on SiCx memristor paves the way for a next-generation brain-like chip in the AI era. Up to date, the SiCx–based memristor devices are faced with the challenge of obtaining flexibility and uniformity, which can push [...] Read more.
A neuromorphic computing network based on SiCx memristor paves the way for a next-generation brain-like chip in the AI era. Up to date, the SiCx–based memristor devices are faced with the challenge of obtaining flexibility and uniformity, which can push forward the application of memristors in flexible electronics. For the first time, we report that a flexible artificial synaptic device based on a Ag NPs:a–SiC0.11:H memristor can be constructed by utilizing aluminum foil as the substrate. The device exhibits stable bipolar resistive switching characteristic even after bending 1000 times, displaying excellent flexibility and uniformity. Furthermore, an on/off ratio of approximately 107 can be obtained. It is found that the incorporation of silver nanoparticles significantly enhances the device’s set and reset voltage uniformity by 76.2% and 69.7%, respectively, which is attributed to the contribution of the Ag nanoparticles. The local electric field of Ag nanoparticles can direct the formation and rupture of conductive filaments. The fitting results of I–V curves show that the carrier transport mechanism agrees with Poole–Frenkel (P–F) model in the high-resistance state, while the carrier transport follows Ohm’s law in the low-resistance state. Based on the multilevel storage characteristics of the Al/Ag NPs:a–SiC0.11:H/Al foil resistive switching device, we successfully observed the biological synaptic characteristics, including the long–term potentiation (LTP), long–term depression (LTD), and spike–timing–dependent plasticity (STDP). The flexible artificial Ag NPs:a–SiC0.11:H/Al foil synapse possesses excellent conductance modulation capabilities and visual learning function, demonstrating the promise of application in flexible electronics technology for high-efficiency neuromorphic computing in the AI period. Full article
(This article belongs to the Special Issue Controlled Growth and Properties of Semiconductor Nanomaterials)
Show Figures

Figure 1

21 pages, 5902 KiB  
Article
Dynamic Effects Analysis in Fractional Memristor-Based Rulkov Neuron Model
by Mahdieh Ghasemi, Zeinab Malek Raeissi, Ali Foroutannia, Masoud Mohammadian and Farshad Shakeriaski
Biomimetics 2024, 9(9), 543; https://doi.org/10.3390/biomimetics9090543 - 8 Sep 2024
Viewed by 630
Abstract
Mathematical models such as Fitzhugh–Nagoma and Hodgkin–Huxley models have been used to understand complex nervous systems. Still, due to their complexity, these models have made it challenging to analyze neural function. The discrete Rulkov model allows the analysis of neural function to facilitate [...] Read more.
Mathematical models such as Fitzhugh–Nagoma and Hodgkin–Huxley models have been used to understand complex nervous systems. Still, due to their complexity, these models have made it challenging to analyze neural function. The discrete Rulkov model allows the analysis of neural function to facilitate the investigation of neuronal dynamics or others. This paper introduces a fractional memristor Rulkov neuron model and analyzes its dynamic effects, investigating how to improve neuron models by combining discrete memristors and fractional derivatives. These improvements include the more accurate generation of heritable properties compared to full-order models, the treatment of dynamic firing activity at multiple time scales for a single neuron, and the better performance of firing frequency responses in fractional designs compared to integer models. Initially, we combined a Rulkov neuron model with a memristor and evaluated all system parameters using bifurcation diagrams and the 0–1 chaos test. Subsequently, we applied a discrete fractional-order approach to the Rulkov memristor map. We investigated the impact of all parameters and the fractional order on the model and observed that the system exhibited various behaviors, including tonic firing, periodic firing, and chaotic firing. We also found that the more I tend towards the correct order, the more chaotic modes in the range of parameters. Following this, we coupled the proposed model with a similar one and assessed how the fractional order influences synchronization. Our results demonstrated that the fractional order significantly improves synchronization. The results of this research emphasize that the combination of memristor and discrete neurons provides an effective tool for modeling and estimating biophysical effects in neurons and artificial neural networks. Full article
Show Figures

Graphical abstract

23 pages, 8739 KiB  
Review
Oxygen Vacancy Engineering and Its Impact on Resistive Switching of Oxide Thin Films for Memory and Neuromorphic Applications
by Biswajit Jana and Ayan Roy Chaudhuri
Chips 2024, 3(3), 235-257; https://doi.org/10.3390/chips3030012 - 6 Sep 2024
Viewed by 1482
Abstract
Oxygen vacancy engineering in metal oxides is a propitious route to modulate their resistive switching properties for memory and neuromorphic applications. This review provides an account of the research works on tailoring RS behavior in oxide thin-film-based memristor devices by oxygen vacancy engineering. [...] Read more.
Oxygen vacancy engineering in metal oxides is a propitious route to modulate their resistive switching properties for memory and neuromorphic applications. This review provides an account of the research works on tailoring RS behavior in oxide thin-film-based memristor devices by oxygen vacancy engineering. We discuss the recent research progress on controlling oxygen vacancy concentration in metal oxide thin films and its impact on their resistive switching properties for application in electronic memory and neuromorphic computing devices. Full article
(This article belongs to the Special Issue New Advances in Memristors: Design and Applications)
Show Figures

Figure 1

10 pages, 4693 KiB  
Article
Audio Signal-Stimulated Multilayered HfOx/TiOy Spiking Neuron Network for Neuromorphic Computing
by Shengbo Gao, Mingyuan Ma, Bin Liang, Yuan Du, Li Du and Kunji Chen
Nanomaterials 2024, 14(17), 1412; https://doi.org/10.3390/nano14171412 - 29 Aug 2024
Viewed by 588
Abstract
As the key hardware of a brain-like chip based on a spiking neuron network (SNN), memristor has attracted more attention due to its similarity with biological neurons and synapses to deal with the audio signal. However, designing stable artificial neurons and synapse devices [...] Read more.
As the key hardware of a brain-like chip based on a spiking neuron network (SNN), memristor has attracted more attention due to its similarity with biological neurons and synapses to deal with the audio signal. However, designing stable artificial neurons and synapse devices with a controllable switching pathway to form a hardware network is a challenge. For the first time, we report that artificial neurons and synapses based on multilayered HfOx/TiOy memristor crossbar arrays can be used for the SNN training of audio signals, which display the tunable threshold switching and memory switching characteristics. It is found that tunable volatile and nonvolatile switching from the multilayered HfOx/TiOy memristor is induced by the size-controlled atomic oxygen vacancy pathway, which depends on the atomic sublayer in the multilayered structure. The successful emulation of the biological neuron’s integrate-and-fire function can be achieved through the utilization of the tunable threshold switching characteristic. Based on the stable performance of the multilayered HfOx/TiOy neuron and synapse, we constructed a hardware SNN architecture for processing audio signals, which provides a base for the recognition of audio signals through the function of integration and firing. Our design of an atomic conductive pathway by using a multilayered TiOy/HfOx memristor supplies a new method for the construction of an artificial neuron and synapse in the same matrix, which can reduce the cost of integration in an AI chip. The implementation of synaptic functionalities by the hardware of SNNs paves the way for novel neuromorphic computing paradigms in the AI era. Full article
(This article belongs to the Section Nanocomposite Materials)
Show Figures

Figure 1

13 pages, 7269 KiB  
Article
A High-Quality and Space-Efficient Design for Memristor Emulation
by Atul Kumar and Bhartendu Chaturvedi
Electronics 2024, 13(16), 3331; https://doi.org/10.3390/electronics13163331 - 22 Aug 2024
Cited by 1 | Viewed by 448
Abstract
The paper presents a new design for a compact memristor emulator that uses a single active component and a grounded capacitor. This design incorporates a current backward transconductance amplifier as the active element, enabling the emulation of both grounded and floating memristors in [...] Read more.
The paper presents a new design for a compact memristor emulator that uses a single active component and a grounded capacitor. This design incorporates a current backward transconductance amplifier as the active element, enabling the emulation of both grounded and floating memristors in incremental and decremental modes. The paper provides an in-depth analysis of the circuit, covering ideal, non-ideal, and parasitic factors. The theoretical performance of the memristor emulator is confirmed through post-layout simulations with 180 nm generic process design kit (gpdk) technology, demonstrating its capability to operate at low voltages (±1 V) with minimal power consumption. Additionally, the emulator shows strong performance under variations in process, voltage, and temperature (PVT) and functions effectively at a frequency of 2 MHz. Experimental validation using commercially available integrated circuits further supports the proposed design. Full article
(This article belongs to the Section Circuit and Signal Processing)
Show Figures

Figure 1

Back to TopTop