TASK 1: 8-Bit Verilog Code For Booth's Multiplier
TASK 1: 8-Bit Verilog Code For Booth's Multiplier
TASK 1: 8-Bit Verilog Code For Booth's Multiplier
endmodule
endmodule
Testbench for Booth’s Multiplier
module testbench;
initial begin
clk = 0;
$display("first example: a = 3 b = 17");
a = 3; b = 17; start = 1; #50 start = 0;
#80 $display("first example done");
$display("second example: a = 7 b = 7");
a = 7; b = 7; start = 1; #50 start = 0;
#80 $display("second example done");
$finish;
end
endmodule