Decade Counter
Decade Counter
Decade Counter
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity DECADECOUNTER is
);
end DECADECOUNTER ;
begin
-- up counter
process(clk,reset)
begin
if(rising_edge(clk)) then
if(reset='1') then
end if;
end if;
end process;
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity tb_counters is
end tb_counters;
component DECADECOUNTER
);
end component;
begin
dut: DECADECOUNTER port map (clk => clk, reset=>reset, counter => counter);
clock_process :process
begin
end process;
-- Stimulus process
stim_proc: process
begin
wait;
end process;
end Behavioral;