Vlsi Project
Vlsi Project
Vlsi Project
On
VLSI
BACHELOR OF TECHNOLOGY
IN
ELECTRONICS AND COMMUNICATION ENGINEERING
By
E. BHANU PRASAD
CERTIFICATE
External Examine
DECLARATION
I here by declare that the work described in this internship entitled “VLSI” which is
submitted by us in partial fulfilment of the academic requirements in Bachelor of
Technology in the Department of ELECTRONICS AND COMMUNICATION
ENGINEERING to the Vignan’s Nirula Institute of Technology and Science for
women, affiliated to Jawaharlal Nehru Technological University Kakinada, Andhra
Pradesh is the result of work done by us under the guidance of Mr. E. Bhanu Prasad,
Assistant Professor. The Work is original and has not been submitted for any
degree/diploma of this or any other university.
ACKNOWLEDGEMENT
I take the opportunity to thank all my lecturers who have directly or indirectly
helped my project. I pay my respect and love to my parents and all other family
members and friends for their love and encouragement throughout our career. Last
but not the least I express my thanks to my friends for the cooperation and support.
ABSTRACT
Very large-scale integration, commonly referred to as VLSI, means a process
in which an integrated chip is made by merging myriads of Metal Oxide
Semiconductor transistors. An example of VLSI device is a microprocessor. In
India, VLSI and EDA occupy the largest segment of the Indian semiconductor
design industry. While VLSI industry is large in Bangalore, Noida is in charge of
the largest EDA operations in India. There are various devices and memories which
are used to control a system. For example: Random Access Memory, Central
Processing Unit, and, Read Only Memory and various other devices. What VLSI
does is that it allows us to integrate all these different parts in just one single chip.
This document presents the development of VLSI, its applications, implementation
and recent developments in the technology of Very Large-Scale Integration
abbreviated as VLSI. The document is divided into further sections as Introduction
of VLSI system design, History and development of VLSI, Digital System design
and Design Flows, Hardware Description Language(Verilog HDL), VLSI design
verification using Verilog HDL, Verification and System Verilog (SV).
Contents
Chapter 1........................................................................................................................
Introduction..............................................................................................................
Chapter 2........................................................................................................................
Chapter 3........................................................................................................................
Chapter 4......................................................................................................................12
Chapter 5......................................................................................................................17
Chapter 6......................................................................................................................22
Chapter 7......................................................................................................................24
Results......................................................................................................................24
Chapter 8......................................................................................................................25
Conclusion...............................................................................................................25
Chapter 9......................................................................................................................26
Reference.................................................................................................................26
Chapter 1
Introduction
Introduction of VLSI system design :
What is VLSI Design?
VLSI Design - Also known as Very Large Scale Integration(VLSI) or
Semiconductor Engineering Process of creating an Integrated Circuit(IC) by
combining billions of transistors into a single chip IC design started off with 2
transistors in 1960s to billions of transistors in today's devices.
What do all these have in common ?
1
the silicon and then wired together with interconnects layered on top of the silicon
surface.
Why choose VLSI ?
ICs are everywhere cell phones, PCs, data centres, game consoles, graphic
cards, web servers.
AI/ML, IoT, 5G Smart Automotives driving further demand for
semiconductor.
Even Software giants like Google, Microsoft, Meta designing own chips for
datacentres and AI .
Governments across the world, including US, India, EU encouraging
semiconductor design and manufacturing.
Huge demand for VLSI talent Comfortable pace of learning and technology
evolution.
The uses of integrated circuits are vast: children’s toys, cars, computers, mobile
phones, spaceships, subway trains, airplanes, video games, toothbrushes, and more.
Basically, if it has a power switch, it likely owes its electronic life to an integrated
circuit. An integrated circuit can function within each device as a microprocessor,
amplifier, or memory.
2
Integrated circuits are created using photolithography, a process that uses
ultraviolet light to print the components onto a single substrate all at once similar to
the way you can make many prints of a photograph from a single negative.
Today's design support large number of IO ports also support large number of
features.
3
Solution for Problem :
Chapter 2
History and development of VLSI
Evolution of IC Manufacturing:
ICs have been making increasingly sophisticated devices possible for nearly
75 years. But how did they begin? The idea of putting several components on one
chip was first considered in the 1950s, with different scientists being credited with
independently developing similar designs at approximately the same time.
Since their creation, integrated circuits have gone through several evolutions to
make our devices ever smaller, faster, and cheaper. While the first generation of ICs
consisted of only a few components on a single chip, each generation since has
prompted exponential leaps in power and economy.
1950s: Integrated circuits were introduced with only a few transistors and
diodes on one chip.
1960s: The introduction of bipolar junction transistors and small- and
medium-scale integration made it possible for thousands of transistors to be
connected on a single chip.
1970s: Large-scale integration and very large-scale integration (VLSI)
allowed for chips with tens of thousands, then millions of components,
enabling the development of the personal computer and advanced computing
systems.
4
2000s: In the early 2000s, ultra-large-scale integration (ULSI) allowed
billions of components to be integrated on one substrate.
Next: The 2.5D and 3D integrated circuit (3D-IC) technologies currently
under development will create unparalleled flexibility, propelling another
great leap in electronics advancement.
The first IC manufacturers were vertically integrated companies that did all the
design and manufacturing steps themselves. This is still the case for some
companies like Intel, Samsung, and memory chip manufacturers. But since the
1980s, the “fabless” business model has become the norm in the semiconductor
industry.
A fabless IC company does not manufacture the chips they design. Instead, they
contract this out to dedicated manufacturing companies that operate fabrication
facilities (fabs) shared by many design companies. Industry leaders like Apple,
AMD, and NVIDIA are examples of fabless IC design houses. Leading IC
manufacturers today include TSMC, Samsung, and GlobalFoundries.
VLSI Technology :
VLSI began in the 1970s when MOS integrated circuit (Metal Oxide
Semiconductor) chips were developed and then widely adopted, enabling
complex semiconductor and telecommunication technologies.
Very large-scale integration is a process of embedding or integrating hundreds
of thousands of transistors onto a singular silicon semiconductor microchip. VLSI
technology's conception dates back to the late 1970s when advanced level processor
(computer) microchips were also in their development stages. Two of the most
common VLSI devices are the microprocessor and the microcontroller.
VLSI refers to an integrated circuit technology with numerous devices on a
single chip. The term originates, of course, in the 1970s, along with various other
scale integration classifications based on the number of gates or transistors per IC.
The remarkable growth of the electronics industry is primarily due to the
advances in large-scale integration technologies. With the arrival of VLSI designs, the
number of possibilities for ICs in control applications, telecommunications, high-
performance computing, and consumer electronics as a whole continues to rise.
5
technology. The forecast for this trend indicates a rapid increase as demands continue
to increase.
The entire design process follows a step-by-step approach, and the following are the
front-end design steps:
6
Architecture Definition: This includes fundamental specifications such as
floating-point units and which system to use, such as RISC or CISC and
ALU's cache size.
Functional Design: This recognizes the vital functional units of a system and,
thus, enables identification of each unit's physical and electrical specifications
and interconnect requirements.
Logic Design: This step involves control flow, Boolean expressions, word
width, and register allocation.
Circuit Design: This step performs the realization of the circuit in the form of
a netlist. Since this is a software step, it utilizes simulation to check the
outcome.
Physical Design: In this step, we create the layout by converting the netlist
into a geometrical depiction. This step also follows some preconceived static
rules, such as the lambda rules, which afford precise details of the ratio,
spacing between components, and size.
The following are the back-end design steps for hardware development:
Wafer Processing: This step utilizes pure silicon melted in a pot at 1400º C.
Then, a small seed comprising the required crystal orientation is injected into
liquefied silicon and gradually pulled out, 1mm per minute. We manufacture
the silicon crystal as a cylindrical ingot and cut it into discs or wafers before
polishing and crystal orientation.
Lithography: This process (photolithography) includes masking with photo
etching and a photographic mask. Next, we apply a photoresist film on the
wafer. A photo aligner then aligns the wafer to a mask. Finally, we expose the
wafer to ultraviolet light, thus highlighting the tracks through the mask.
Etching: Here, we selectively remove material from the surface of the wafer to
produce patterns. With an etching mask to protect the essential parts of the
material, we use additional plasma or chemicals to remove the remaining
photoresist.
Ion Implantation: Here, we utilize a method to achieve a desired electrical
characteristic in the semiconductor, i.e., a process of adding dopants. The
7
process uses a beam of high-energy dopant ions to target precise areas of the
wafer. The beam's energy level determines the depth of wafer penetration.
Metallization: In this step, we apply a thin layer of aluminum over the entire
wafer.
Assembly and Packaging: Every one of the wafers contains hundreds of chips.
Therefore, we use a diamond saw to cut the wafers into single chips.
Afterward, they receive electrical testing, and we discard the failures. In
contrast, those that pass receive a thorough visual inspection utilizing a
microscope. Finally, we package the chips that pass the visual inspection as
well as recheck them.
VLSI technology is ideally suited to the demands of today's electronic devices and
systems. With the ever-increasing demand for miniaturization, portability,
performance, reliability, and functionality, VLSI technology will continue to drive
electronics advancement.
Chapter 3
Digital System design and Design Flows
Computer Added Design (CAD) tools are introduced in the process due to its
increased size and complexity in current trends. Designers want to standardize the
design procedure, starting from the design idea to get the design implemented then
so called design flow.
8
Digital systems are fast.
Digital systems are accurate.
Digital systems consume less power.
Goal Of Design Systems: It is to be a single source of truth for the product( or say
organization) . In order to achieve that designers and developers need to collabrate
as they both have to speak same language.
How to Design a Digital System?
Digital systems are synonyms to binary systems where we are dealing with 0’s and
1’s. Operations are carried this digital data known as Boolean
algebra where Gates are used to manipulate this information such as AND, OR, and
XOR. Now here we just do plot gates as while designing we need to take care of
cost and other factors corresponding to which we do have various design patterns
over here such as combinational, sequential, and various others design patterns that
are there just with tweaks made out in positioning gates while designing.
9
The steps involved in combinational logical design are briefly described below are
as follows:
1. Understanding the requirements
2. Truth Table
3. Logic Expressions
4. Simplification
5. Gate level design
6. Circuit Diagram
7. Implementation and Testing
8. Optimization and Documentation
4. Sequential Logic Design:
The process of creating digital circuits or systems with memory that can
store and process information over time is known as sequential logic design.
Sequential logic uses feedback and the present state of the system to predict future
behavior, in contrast to combinational logic, which just considers the current inputs.
Combinational logic gates are used in these systems to modify the inputs and
produce the desired outputs. The procedures involved in combinational logical
design are briefly described below.
The steps involved in combinational logical design are briefly described below are
as follows:
1. Design the specification
10
2. State diagram
3. State Encoding
4. Next state Logic and Output logic
5. Memory elements
6. Circuit Diagrams
7. Implementation and Testing
8. Optimization and Documentation
5. Integrated Circuit Design:
The process of developing electrical circuits that are compacted and
integrated onto a single semiconductor chip is known as integrated circuit (IC)
design. It entails creating the component’s internal structure, relationships, and
functioning.
The steps involved in combinational logical design are briefly described below are
as follows:
Specification and Architecture
1. Logical Design
2. Circuit Design
3. Physical Design
4. Verification
5. Manufacturing and Fabrication
6. Testing and Characterization
7. Packaging and Integration
6. Microprocessor and Computer Architecture:
The microprocessor is an important part of a computer architecture without
which you will not be able to perform anything on your computer. It is a
programmable device that takes in input performs some arithmetic and logical
operations over it and produces the desired output. In simple words, a
Microprocessor is a digital device on a chip that can fetch instructions from
memory, decode and execute them, and give results
7. Digital System Testing and Verification:
Testing in a digital system is computed with reconciliation with the utmost
8. Timing and Synchronization:
11
Timing and synchronization are important considerations when developing
systems, especially digital systems where event sequencing and coordination are
key. Here are some crucial ideas and methods for synchronization and timing in
system design.
1. Clock Signal
2. Clock Domain Crossing
3. Timing Analysis
9. System Integration and Interfacing:
System Integration is combining components to form a cohesive digital
system, while Interfacing establishes connections for seamless communication
between subsystems.
10. Power and Signal Integrity:
Power Integrity mainly focuses on ensuring Power Distribution Network
(PDN) are as follows:
Specific design techniques are as follows:
1. Proper Decoupling Capacitor Placement
2. Impedance Control
3. Power Plan Design
Chapter 4
Hardware Description Language(Verilog HDL)
12
performance digital circuits for military applications. In the same year, Verilog was
introduced by Phil Moorby and Prabhu Goel owned by Gateway Design
Automation. It was originally intended to be used for verification, but it became
popular as HDL.
Frontend Engineer is responsible for the creating the RTL which follows the
Behavioural and Functional requirement of COR the requested design.
Backend VLSI holds mainly the fabrication and development part. This
includes floor planning, place & route, and all the foundry work like fabrication,
packaging etc.
Design flow -Cont.
To analyse the design in terms of functionality and performance.
Behavioural description convert to RTL.
Logic synthesis is processes of converting RTL to gate level netlist.
It is description of circuit in terms of gates and connection between them.
EDA tool helps in designing a final IC chip.
Importance of HDL
Digital system are highly complex.
Verilog language provides the digital designer a software platform.
Verilog allows user to express their design with behavioural constructs.,,
A program tool can convert the Verilog program to a description that was
used to make chip, like VLSI.
Verilog HDL
It is a general purpose hardware description language easy to learn and easy
to use.
It is smaller to C language in terms of syntax.
It allows different level of abstraction to mixed in the same model.
13
-----------------------------------------------------------------------------------------------------
A module can be an element or collection of low level design blocks, It is basic
building block in Verilog
Keywords-module and endmodule
Identifier of module- module_name
Describes inputs and outputs- module terminal list
// Example with any one modeling
Levels of Abstraction-1
Switch Level: Module implemented with switches and interconnects. Lowest
level of Abstraction- required knowledge of switches
Gate Level: Module implemented in terms of logic gates like (and,or) and
interconnection between gates-required knowledge of gates.
Levels of Abstraction-2
Dataflow Level: Module designed by specifying dataflow. The designer is
aware of how data flows between hardware registers and describing logical
functions
In dataflow modeling most of the design is implemented using continuous
assignments.
The continuous assignments are made using the keyword assign.
Example: assign out=in0+in1;
Example and gate assign y =a\&b:
or gate assign y = a | b
Behavioural Level :Module can be implemented in terms of the desired
design algorithm without concern for the hardware implementation details.
Very similar to C programming.
Example :and gate
always( a(a, b)
if (a==1\&b==1)
y=1; else
y=0
Design using HDL:
14
As a result of the efficiency gains realized using HDL, a majority of modern
digital circuit design revolves around it. Most designs begin as a set of requirements
or a high-level architectural diagram. Control and decision structures are often
prototyped in flowchart applications, or entered in a editor. The process of writing
the HDL description is highly dependent on the nature of the circuit and the
designer's preference for coding style. The HDL is merely the 'capture language',
often beginning with a high-level algorithmic description such as a C++
mathematical model. Designers often use scripting languages such as Perl to
automatically generate repetitive circuit structures in the HDL language. Special
text editors offer features for automatic indentation, syntax-dependent coloration,
and macro-based expansion of the entity/architecture/signal declaration.
The HDL code then undergoes a code review, or auditing. In preparation for
synthesis, the HDL description is subject to an array of automated checkers. The
checkers report deviations from standardized code guidelines, identify potential
ambiguous code constructs before they can cause misinterpretation, and check for
common logical coding errors, such as floating ports or shorted outputs. This
process aids in resolving errors before the code is synthesized.
In industry parlance, HDL design generally ends at the synthesis stage. Once
the synthesis tool has mapped the HDL description into a gate netlist, the netlist is
passed off to the back-end stage. Depending on the physical technology
(FPGA, ASIC gate array, ASIC standard cell), HDLs may or may not play a
significant role in the back-end flow. In general, as the design flow progresses
toward a physically realizable form, the design database becomes progressively
more laden with technology-specific information, which cannot be stored in a
generic HDL description. Finally, an integrated circuit is manufactured or
programmed for use.
15
writing multiple variations of a base design, then comparing their behavior in
simulation. Thus, simulation is critical for successful HDL design.
16
of the design. Prototyping is the best way to check interfacing against other
hardware devices and hardware prototypes. Even those running on slow FPGAs
offer much shorter simulation times than pure HDL simulation.
The assertions do not model circuit activity, but capture and document the
designer's intent in the HDL code. In a simulation environment, the simulator
evaluates all specified assertions, reporting the location and severity of any
violations.
In a synthesis environment, the synthesis tool usually operates with the policy of
halting synthesis upon any violation. Assertion based verification is still in its
infancy, but is expected to become an integral part of the HDL design toolset.
17
Chapter 5
VLSI design verification using Verilog HDL
VLSI (Very Large Scale Integration) design verification is a crucial step in
the development of integrated circuits. It involves checking that the design correctly
implements the desired functionality and meets all specified constraints. One of the
18
most commonly used languages for VLSI design and verification is Verilog HDL
(Hardware Description Language).
Here are some key points about VLSI design verification using Verilog HDL:
module counter (
input wire clk,
input wire reset,
19
output reg [3:0] q
);
always @(posedge clk or posedge reset) begin
if (reset)
q <= 4'b0000;
else
q <= q + 1;
end
endmodule
In this example, the counter increments on every rising edge of the clock signal
(clk). If the reset signal (reset) is high, the counter resets to zero. The current count
is output on the 4-bit signal. Remember, VLSI design and verification is a complex
process that requires a deep understanding of digital systems and the tools used to
design them.
VLSI design verification using Verilog HDL is an essential phase in the chip
design process, ensuring that the design meets its specifications and behaves as
intended.
Here are some additional points:
Behavioural Level Verification: At this level, Verilog is used to verify the
design’s functionality without considering its structure. It involves writing
testbenches that simulate the behaviour of the digital system.
Register-Transfer Level (RTL) Verification: RTL verification checks the
logic of the design at a level where operations and data transfers between
registers are described. This is often where most of the functional
verification is performed.
Gate Level Verification: After RTL verification, the design is synthesized to
a gate-level netlist, which is then verified to ensure that the synthesis process
has not introduced any errors.
Testbenches: A critical component of Verilog verification, testbenches are
used to apply stimuli to the design under test and check its response against
expected outcomes.
20
Simulation Tools: Tools like ModelSim or VCS are used to simulate Verilog
testbenches and provide a dynamic environment for verification.
Here’s an example of a simple Verilog testbench for a 4-bit counter module:
module counter_tb;
// Testbench signals
reg clk;
reg reset;
wire [3:0] q;
// Clock generation
always #5 clk = ~clk;
// Test sequence
initial begin
// Initialize signals
clk = 0;
reset = 1;
#10 reset = 0;
21
// Finish simulation
$finish;
end
endmodule
This testbench generates a clock signal, applies a reset, and then checks if
the counter’s output matches the expected value after a certain number of clock
cycles. If the output is incorrect, it displays “Test failed”; otherwise, it displays
“Test passed”.
Chapter 6
Verification and System Verilog (SV)
Verification is a critical step in the VLSI (Very Large Scale Integration)
design process. It ensures that the design meets its specifications and behaves as
22
intended. System Verilog (SV) is a popular language used for both design and
verification in the VLSI industry.
module counter_tb;
// Testbench signals
reg clk;
reg reset;
wire [3:0] q;
23
// Clock generation
always #5 clk = ~clk;
// Test sequence
initial begin
// Initialize signals
clk = 0;
reset = 1;
#10 reset = 0;
In this testbench, a clock signal is generated, a reset is applied, and then the
counter’s output is checked against an expected value after a certain number of
clock cycles. If the output is incorrect, it displays “Test failed”; otherwise, it
displays “Test passed”.
24
Chapter 7
Result
The field of VLSI (Very Large Scale Integration) has had significant results
and advancements over the years. VLSI technology has revolutionized the
electronics industry by enabling the integration of millions, or even billions, of
transistors onto a single chip. This has led to the development of more powerful and
efficient electronic devices, such as smartphones, computers, and IoT devices. VLSI
has also contributed to the miniaturization of electronic components, making
devices smaller, lighter, and more portable. Additionally, VLSI has played a crucial
role in improving the performance, power efficiency, and cost-effectiveness of
integrated circuits. The continuous progress in VLSI design and fabrication
techniques has paved the way for innovations in various fields, including
telecommunications, automotive, healthcare, and more. Overall, the results in VLSI
have had a profound impact on our daily lives, driving technological advancements
and shaping the future of electronics.
25
Chapter 8
Conclusion
In conclusion, VLSI (Very Large Scale Integration) has had a significant
impact on the electronics industry, enabling the integration of millions or billions of
transistors onto a single chip. This has led to the development of powerful and
efficient electronic devices that we use in our daily lives. VLSI technology has
contributed to the miniaturization of components, making devices smaller and more
portable. It has also improved the performance, power efficiency, and cost-
effectiveness of integrated circuits. With continuous advancements in VLSI design
and fabrication techniques, we can expect further innovations and advancements in
various fields. VLSI is a key driver of technological progress, shaping the future of
electronics and paving the way for exciting possibilities.
26
Chapter 9
References
1.Semiwiki - All Things semiconductor
As the slogan, you can find most of the things related to Semiconductors: EDA, IP,
TSMC, RISC-V, IoT,... And the major latest updates, training, seminars, or even
detailed technical paper, implementation methodology.
https://semiwiki.com/
2. VLSI PD Blogspot
This VLSI blog has rich explanations about the design environment with EDA tools,
such as what is tech file, tlplus+, SDC, scenarios, physical/logical libraries
MACRO/STD Cell placement, CTS, Global Routing, Detail Routing, how to fix
crosstalk, DRC/... This Blogspot is really helpful for DV/PD newbies to fulfill the
knowledge.
3. VLSI concepts from VLSI-EXPERT
There is much good knowledge from basic to advanced. However, the most
interesting is about STA & timing fixing skills.
http://www.vlsi-expert.com/
4. Weekly training session & random blog by SignoffSemi
http://www.signoffsemi.com/category/weekly-training-sessions/
http://www.signoffsemi.com/category/random-blogs-2/
5. Soc basic design Blogspot
It's a pity that this Blogspot page is out-of-date, there is no update from 2017.
However, the remained posts in this blog are really useful for newbies
https://soc-asic-design.blogspot.com/
6. Learning System Verilog, SVA, OVM/UVM, CDC, FIFO
This page is from one of the famous training services which provides many free
papers for download, and the authors also presented them in many world-class IC
design technical conferences such as DAC, DVCON, DesignCon, HDLCON,
SNUG. It's suitable for Students, RTL designers, Verification Engineers or the short
name is Front-End guys. You will see much great knowledge in a professional way
from a beginner to advanced.
27
https://www.sunburst-design.com/papers/
7. VLSI.Pro blog
This page is providing practical knowledge which Front-End & Back-End ( Physical
Design) guys meet daily. The page is still very new & hope the author will continue
to develop it.
https://vlsi.pro/
8. Synopsys Glossary
The page is designed as "What is ..." & detailed technical explanation. Example:
What is cloud computing?, What is Static Timing Analysis (STA)?, What is EM?
https://www.synopsys.com/glossary.html
Synopsys community blogs are also good for reference
https://www.synopsys.com/community/blogs.html
9. Mentor How-to Videos
There are training videos that are very useful for Mentor EDA tool users, such as
Calibre soft family
https://www.mentor.com/products/ic_nanometer_design/calibre-how-to-video-
library/#?filter=&start=1&limit=9
10. Learning online courses ( paid or free)
There are many courses with various subjects, provided by many world-famous/top
universities around the world. They are especially free or cheap due to Covid-19.
Refer to my other article for more information.
https://www.linkedin.com/posts/david-thanh_computerscience-languagelearning-
business-activity-6655278130158235649-Vl2P
11. VLSI Technology
This is one of the LinkedIn pages made in the Vietnamese language, mainly
focusing on Verification methodology & definitions. It's a good initiation that may
benefit Vietnamese students.
https://www.linkedin.com/company/icdesign-vlsi-technology/
12. Guru99
This page has very rich content with various topics, all are really "hot" recently.
However, it's more on AI/ML, Software programming & Data Science. Last but not
28
least, the "Blog" page is sharing some interesting stuff which many people need
them.
https://www.guru99.com/
29