Cs1304-Computer Architecture Department of Cse & It
Cs1304-Computer Architecture Department of Cse & It
Cs1304-Computer Architecture Department of Cse & It
Computer Architecture
Computer architecture is the set of rules and methods that describe the functionality, organization
and implementation of computer system. The architecture of a system refers to its structure in terms of
separately specified components of that system and their inter-relationships.
Instruction set architecture (ISA): This includes the functions and capabilities of the central
processing unit. It is the embedded programming language and defines what programming it can
perform or process. This part is the software that makes the computer run and it includes data formats
and the programmed instruction set.
Microarchitecture: also known as "computer organization", this describes how a
particular processor will implement the ISA.
Systems design: includes all hardware components of a computer including data processors, multi
processors, memory controllers etc.
Evolution of Processors
There are two major approaches to processor architecture
CISC (Computer Instruction Set Computer): CISC have a single processing unit external memory and a
small register set with hundreds of different instructions. These processors have a single instruction to
perform a task. The advantage is fewer lines of code are needed to get the job done. This approach uses less
memory but it can take longer time to complete instructions.
RISC (Reduced Instruction Set Computer): The RISC processors have a smaller set of instructions with
few addressing modes. Execution of RISC instructions are faster and take one clock cycle per instruction.
The following are eight great ideas that computer architects have invented in the last 60 years of computer
design.
Input device accepts the coded information as source program i.e. high level language. This is either
stored in the memory or immediately used by the processor to perform the desired operations. The program
stored in the memory determines the processing steps. Basically the computer converts one source program
to an object program. i.e. into machine language.
Finally the results are sent to the outside world through output device. All of these actions are
coordinated by the control unit.
Input unit: - The source program/high level language program/coded information/simply data is fed to a
computer through input devices keyboard is a most common type. Whenever a key is pressed, one
corresponding word or number is translated into its equivalent binary code over a cable & fed either to
memory or processor.
Example:- Joysticks, trackballs, mouse, scanners etc are other input devices.
Memory unit: - Its function into store programs and data. It is basically to two types
1. Primary memory
2. Secondary memory
1. Primary memory: - It Is the one exclusively associated with the processor and operates at the electronics
speeds programs must be stored in this memory while they are being executed. The memory contains a large
St. Joseph’s College of Engineering Page 3 of 105
CS1304-Computer Architecture Department of CSE & IT
number of semiconductors storage cells. Each capable of storing one bit of information. These are processed
in a group of fixed site called word.
To provide easy access to a word in memory, a distinct address is associated with each word
location. Addresses are numbers that identify memory location.
Number of bits in each word is called word length of the computer. Programs must reside in the
memory during execution. Instructions and data can be written into the memory or read out under the control
of processor. Memory in which any location can be reached in a short and fixed amount of time after
specifying its address is called random-access memory (RAM).
The time required to access one word in called memory access time. Memory which is only readable
by the user and contents of which can’t be altered is called read only memory (ROM) it contains operating
system. Caches are the small fast RAM units, which are coupled with the processor and are often contained
on the same IC chip to achieve high performance. Although primary storage is essential it tends to be
expensive.
2 Secondary memory: - Is used where large amounts of data & programs have to be stored, particularly
information that is accessed infrequently.
Examples: - Magnetic disks & tapes, optical disks (ie CD-ROM’s), floppies etc.,
Arithmetic logic unit (ALU):-
Most of the computer operators are executed in ALU of the processor like addition, subtraction,
division, multiplication, etc. the operands are brought into the ALU from memory and stored in high speed
storage elements called register. Then according to the instructions the operation is performed in the
required sequence.
The control and the ALU are may times faster than other devices connected to a computer system.
This enables a single processor to control a number of external devices such as key boards, displays,
magnetic and optical disks, sensors and other mechanical controllers.
Output unit:-
These actually are the counterparts of input unit. Its basic function is to send the processed results to
the outside world.
Examples:- Printer, speakers, monitor etc.
Control unit:-
It effectively is the nerve center that sends signals to other units and senses their states. The actual
timing signals that govern the transfer of data between input unit, processor, memory and output unit are
generated by the control unit.
BASIC OPERATIONAL CONCEPTS
To perform a given task, an appropriate program consisting of a list of instructions is stored in the
memory. Individual instructions are brought from the memory into the processor, which executes the
specified operations.
Example: - Add $S0,$S1,$S2
This instruction adds the operands at $S1 and $S2 and places the sum into $S0. This instruction
requires the performance of several steps,
1. First the instruction is fetched from the memory into the processor
2. The operand are fetched and added the contents
3. Finally the resulting sum is stored in the register $S0
4. In some other type of computers, these two types of operations are performed by separate
instructions for performance reasons.
lw $t0,32($s3)
add $s2,$t0,$s1
Transfers between the memory and the processor are started by sending the address of the
memory location to be accessed to the memory unit and issuing the appropriate control signals. The
data are then transferred to or from the memory.
The fig shows how memory and the processor can be connected. In addition to the ALU and the
control circuitry, the processor contains a number of registers used for several different purposes.
Instruction register (IR):- Holds the instruction that is currently being executed. Its output is
available for the control circuits, which generates the timing signals that control the various processing
To maximize performance, we want to minimize response time or execution time for some task. Thus, we
can relate performance and execution time for a computer X:
That is, the execution time on Y is longer than that on X, if X is faster than Y.
Relate the performance of two different computers quantitatively.
Problem 1:
If computer A runs a program in 10 seconds and computer B runs the same program in 15 seconds, how
much faster is A than B?
Performance ratio=
A simple formula relates the most basic metrics (clock cycles and clock cycle time) to CPU time:
Problem 2:
Our favorite program runs in 10 seconds on computer A, which has a 2 GHz clock. We are trying to help a
computer designer build a computer, B, which will run this program in 6 seconds. Th e designer has
determined that a substantial increase in the clock rate is possible, but this increase will affect the rest of the
CPU design, causing computer B to require 1.2 times as many clock cycles as computer A for this program.
What clock rate should we tell the designer to target?
Given:
Therefore, to run the program in 6 seconds, B must have twice the clock rate of A.
INSTRUCTION PERFORMANCE
The performance equation can be written in terms of instruction count, CPI and clock cycle time
Problem 3:
Let us assume that two computers use same instruction set architecture. Computer A has a clock cycle
time of 250ps and a CPI of 2.0 for some program and computer B has a clock cycle time of 500 ps and
a CPI of 1.2 for the same program. Which computer is faster for this program and by how much?
Each computer executes the same number of instructions for the program call this number I.
Given:
CPIA=2.0
CPIB=1.2
Clock cycle timeA = 250 ps
Clock cycle timeB = 500 ps
These formulas are particularly useful because they separate the three key factors that affect performance.
Problem 4:
The table shows the two-code sequence with number of instructions of different instruction classes
within each code sequence respectively. The instruction are classified as A, B and C according to the
CPI as shown in table.
CPI A B C
1 2 3
Code sequence Instruction counts for each instruction class is Code sequence Instruction counts for
each instruction class
Code Instruction Count
sequence A B C
1 2 1 2
2 4 1 1
(i) Determine which code sequence executes the most instructions.
(ii) Determine which code sequence will execute quickly.
(iii) Determine the CPI for each code sequence
1. Register Operands
Arithmetic instructions use register operands
MIPS has a 32 × 32-bit register file
Use for frequently accessed data
Numbered 0 to 31
32-bit data called a “word”
St. Joseph’s College of Engineering Page 10 of 105
CS1304-Computer Architecture Department of CSE & IT
Assembler names
$t0, $t1, …, $t9 for temporary values
$s0, $s1, …, $s7 for saved variables
Example 1:
C code for the following instruction:
f = (g + h) - (i + j);
f, …, j in $s0, …, $s4
Compiled MIPS code:
add $t0, $s1, $s2
add $t1, $s3, $s4
sub $s0, $t0, $t1
2. Memory Operands
Main memory used for composite data
Arrays, structures, dynamic data
To apply arithmetic operations
Load values from memory into registers
Store result from register to memory
Memory is byte addressed
Each address identifies an 8-bit byte
Words are aligned in memory
Address must be a multiple of 4
MIPS is Big Endian
Most-significant byte at least address of a word
c.f. Little Endian: least-significant byte at least address
3. Constant or Immediate Operands
Constant data specified in an instruction
addi $s3, $s3, 4
No subtract immediate instruction
Just use a negative constant
addi $s2, $s1, -1
Operations
1. Arithmetic Operations
Add and Subtract instruction use three Operands - Two Sources and one Destination.
Example add $t0, $s1, $s2
2. Data Transfer Operations
These operations help in moving the data between memory and registers.
Example 1: Example 2:
3. Logical Operations
Instructions for bitwise manipulation
Useful for extracting and inserting groups of bits in a word
4. Conditional Operations
1. Branch to a labeled instruction if a condition is true. Otherwise, continue sequentially.
beq rs, rt, L1
if (rs == rt) branch to instruction labeled L1;
bne rs, rt, L1
if (rs != rt) branch to instruction labeled L1;
j L1
2. Unconditional jump to instruction labeled L1. Set result to 1 if a condition is true. Otherwise, set to 0.
slt rd, rs, rt
if (rs < rt) rd = 1; else rd = 0;
slti rt, rs, constant
if (rs < constant) rt = 1; else rt = 0;
3. Use in combination with beq, bne
slt $t0, $s1, $s2 # if ($s1 < $s2)
bne $t0, $zero, L # branch to L
Jump Instructions
4. Procedure call: jump and link.
jal ProcedureLabel
Address of following instruction put in $ra.
Jumps to target address.
5. Procedure return: jump register
jr $ra
Copies $ra to program counter.
Can also be used for computed jumps.
e.g., for case/switch statements.
Category Instruction Example Meaning Comments
Arithmetic add add $s1 = $s2 + $s3 Three register
$s1,$s2,$s3 operands
subtract sub $s1 = $s2 – $s3 Three register
$s1,$s2,$s3 operands
add immediate addi $s1 = $s2 + 20 Used to add
$s1,$s2,20 constants
Data load word lw $s1 = Memory[$s2 + 20] Word from memory
Transfer $s1,20($s2) to register
store word sw Memory[$s2 + 20] = $s1 Word from register
$s1,20($s2) to memory
load half lh $s1,20($s2) $s1 = Memory[$s2 + 20] Halfword memory
to register
load half lhu $s1 = Memory[$s2 + 20] Halfword memory
unsigned $s1,20($s2) to register
store half sh Memory[$s2 + 20] = $s1 Halfword register to
$s1,20($s2) memory
load byte lb $s1,20($s2) $s1 = Memory[$s2 + 20] Byte from memory
to register
load byte lbu $s1 = Memory[$s2 + 20] Byte from memory
unsigned $s1,20($s2) to register
store byte sb Memory[$s2 + 20] = $s1 Byte from register to
$s1,20($s2) memory
load linked word ll $s1,20($s2) $s1 = Memory[$s2 + 20] Load word as 1st
St. Joseph’s College of Engineering Page 12 of 105
CS1304-Computer Architecture Department of CSE & IT
half of atomic swap
store condition. word sc Memory[$s2+20]=$s1;$s1 Store word as 2nd
$s1,20($s2) =0 or 1 half of atomic swap
load upper lui $s1,20 $s1 = 20 * 216 Loads constant in
immediate upper 16 bits
Logical and and $s1 = $s2 & $s3 Three reg. operands;
$s1,$s2,$s3 bit-by-bit AND
or or $s1 = $s2 | $s3 Three reg. operands;
$s1,$s2,$s3 bit-by-bit OR
nor nor $s1 = ~ ($s2 | $s3) Three reg. operands;
$s1,$s2,$s3 bit-by-bit NOR
and immediate andi $s1 = $s2 & 20 Bit-by-bit AND reg
$s1,$s2,20 with constant
or immediate ori $s1,$s2,20 $s1 = $s2 | 20 Bit-by-bit OR reg
with constant
shift left logical sll $s1,$s2,10 $s1 = $s2 << 10 Shift left by constant
shift right logical srl $s1,$s2,10 $s1 = $s2 >> 10 Shift right by
constant
Conditional branch on equal beq if ($s1 == $s2) go to PC + Equal test; PC-
branch $s1,$s2,25 4 + 100 relative branch
branch on not bne if ($s1!= $s2) go to PC + 4 Not equal test; PC-
equal $s1,$s2,25 + 100 relative
set on less than slt if ($s2 < $s3) $s1 = 1; else Compare less than;
$s1,$s2,$s3, $s1 = 0 for beq bne
set on less than sltu $s1,$s2,$ if ($s2 < $s3) $s1 = 1; else Compare less than
unsigned s3 $s1 = 0 unsigned
set less than slti if ($s2 < 20) $s1 = 1; else Compare less than
immediate $s1,$s2,20 $s1 = 0 constant
set less than sltiu if ($s2 < 20) $s1 = 1; else Compare less than
immediate $s1,$s2,20 $s1 = 0 constant unsigned
unsigned
Unconditiona jump j 2500 go to 10000 Jump to target
l jump address
jump register jr $ra go to $ra For switch,
procedure return
jump and link jal 2500 $ra = PC + 4; go to 10000 For procedure call
REPRESENT INSTRUCTIONS IN A COMPUTER SYSTEM
Instructions are encoded in binary Called machine code.
MIPS instructions:
Encoded as 32-bit instruction words.
Small number of formats encoding operation code (opcode), register numbers.
Register numbers
$t0 – $t7 are reg’s 8 – 15
$t8 – $t9 are reg’s 24 – 25
$s0 – $s7 are reg’s 16 – 23
1. R-Format:
Instruction Fields:
op: operation code (opcode)
rs: first source register number
rt: second source register number
rd: destination register number
St. Joseph’s College of Engineering Page 13 of 105
CS1304-Computer Architecture Department of CSE & IT
shamt: shift amount (00000 for now)
funct: function code (extends opcode)
Example:
2. I-Format:
In the table above, “reg” means a register number between 0 and 31, “address” means a 16-bit address, and
“n.a.”(not applicable) means this field does not appear in this format. Note that add and sub instructions
have the same value in the op field; the hardware uses the function field to decide the variant of the
operation: add (32) or subtract (34).
3. J-Format:
j target- J-type is short for "jump type". The format of an J-type instruction looks like:
opcode target
B31-26 B25-0
The semantics of the j instruction (j means jump) are:
PC <- PC31-28 IR25-0 00
where PC is the program counter, which stores the current address of the instruction being executed. You
update the PC by using the upper 4 bits of the program counter, followed by the 26 bits of the target (which
is the lower 26 bits of the instruction register), followed by two 0's, which creates a 32 bit address.
LOGICAL OPERATIONS
1. Logical Operations:
2. SHIFT:
4. OR:
Used to include bit in a word
Set some bits to 1, leave others unchanged
Eg: or $t0, $t1, $t2
5. NOT:
Useful to invert bits in a word
Change 0 to 1, and 1 to 0
DECISION MAKING
Based on the input data and the values created during computation, different instructions execute.
Decision making is commonly represented in programming languages using if statement, sometimes
combined with go to statements and labels. MIPS assembly language includes two decision-making
instructions, similar to an if statement with a go to. The first instruction is
beq register1, register2, L1
This instruction means go to the statement labeled L1 if the value in register1 equals the value in
register2. The mnemonic beq stands for branch if equal. The second instruction is
bne register1, register2, L1
It means go to the statement labeled L1 if the value in register1 does not equal the value in register2.
The mnemonic bne stands for bra nch if not equal. These two instructions are traditionally called conditional
branches.
Example:
Compiling IF statements:
Positive Numbers
0 0 0 0 +0
0 0 0 1 +1
0 0 1 0 +2
0 0 1 1 +3
0 1 0 0 +4
0 1 0 1 +5
0 1 1 0 +6
0 1 1 1 +7
Negative Numbers
1 0 0 0 -0
1 0 0 1 -1
1 0 1 0 -2
1 0 1 1 -3
1 1 0 0 -4
1 1 0 1 -5
1 1 1 0 -6
1 1 1 1 -7
Drawbacks:
1. For 0, there are two representations: -0 and +0 which should not be the case as 0 is neither –ve nor +ve.
2. Out of 2^n bits for representation, we are able to utilize only 2^{n-1} bits.
3. Numbers are not in cyclic order i.e. After the largest number (in this, for example, +7) the next number
is not the least number (in this, for example, +0).
4. For negative numbers signed extension does not work.
5. As we can see above, for +ve representation, if 4 bits are extended to 5 bits there is a need to just
append 0 in MSB.
6. But if the same is done in –ve representation we won’t get the same number. i.e. 10101 ≠ 11101.
1’s Complement representation of a signed integer
In 1’s complement representation the following rules are used:
1. For +ve numbers the representation rules are the same as signed integer representation.
2. For –ve numbers, we can follow any one of the two approaches:
Write the +ve number in binary and take 1’s complement of it.
1’s complement of 0 = 1 and 1’s complement of 1 = 0
Example:
(-5) in 1’s complement:
+5 = 0101
-5 = 1010
Write Unsigned representation of 2^n-1-X for –X.
Example:
–X = -5 for n=4
2^4-1-5=10 ->1010(Unsigned)
3. The range of 1’s complement integer representation of n-bit number is given as –(2^{n-1}-1) to 2^{n-
1}-1.
1’s Complement Representation:
Positive Numbers
0 0 0 0 +0
0 0 0 1 +1
0 0 1 0 +2
0 0 1 1 +3
0 1 0 0 +4
0 1 0 1 +5
0 1 1 0 +6
0 1 1 1 +7
Negative Numbers
1 0 0 0 -7
1 0 0 1 -6
1 0 1 0 -5
1 0 1 1 -4
1 1 0 0 -3
1 1 0 1 -2
1 1 1 0 -1
1 1 1 1 -0
Drawbacks:
1. For 0, there are two representations: -0 and +0 which should not be the case as 0 is neither –ve nor
+ve.
2. Out of 2^n bits for representation, we are able to utilize only 2^{n-1} bits.
Merits over Signed bit representation:
1. Numbers are in cyclic order i.e. after the largest number (in this, for example, +7) the next number is
the least number (in this, for example, -7).
2. For negative number signed extension works.
Example: Signed extension for +5
As it can be seen above, for +ve as well as -ve representation, if 4 bits are extended to 5 bits there is a
need to just append 0/1 respectively in MSB.
2’s Complement representation
In 2’s Complement representation the following rules are used:
1. For +ve numbers, the representation rules are the same as signed integer representation.
2. For –ve numbers, there are two different ways we can represent the number.
Write an unsigned representation of 2^n-X for –X in n-bit representation.
Example:
(-5) in 4-bit representation
2^4-5=11 -→1011(unsigned)
Write a representation of +X and take 2’s Complement.
To take 2’s complement simply take 1’s complement and add 1 to it.
Example:
(-5) in 2’s complement
(+5) = 0101
St. Joseph’s College of Engineering Page 20 of 105
CS1304-Computer Architecture Department of CSE & IT
1’s complement of (+5) = 1010
Add 1 in 1010: 1010+1 = 1011
Therefore (-5) = 1011
3. Range of representation of n-bit is –(2^{n-1} ) to (2)^{(n-1)-1}.
2’s Complement representation (4 bits)
Merits:
1. No ambiguity in the representation of 0.
2. Numbers are in cyclic order i.e. after +7 comes -8.
3. Signed Extension works.
4. The range of numbers that can be represented using 2’s complement is very high.
Due to all of the above merits of 2’s complement representation of a signed integer, binary numbers are
represented using 2’s complement method instead of signed bit and 1’s complement.
Fast adder circuit must speed up the generation of carry signals. Carry lookahead logic uses the concepts of
generating and propagating carries.
Giand Piare computed only from xi and yi and not ci, thus they can be computed in one gate delay after X
and Y are applied to the inputs of an n-bit adder.
Bit-stage cell
4-bit Adder
The complete 4-bit adder is shown in below figure where the B cell indicates Gi, Pi & Si generator. The
carries are implemented in the block labeled carry look-ahead logic. An adder implemented in this form is
called a carry lookahead adder. Delay through the adder is 3 gate delays for all carry bits and 4 gate delays
for all sum bits. In comparison, note that a 4-bit ripple-carry adder requires 7 gate delays for S3(2n-1)and 8
gate delays(2n) for c4.
Now, consider the design of a 4-bit parallel adder. The carries can be implemented as
The above multiplication can be performed using an array of cells each consisting of an FA and an AND.
Each cell computes a given partial product. Figure below shows the basic cell and an example array for a 4 x
Example
Multiplication of two unsigned numbers 11 and 13.The process is shown below in a table. A is a 4-
bit register and is initialized to 0s and C is the carry bit from the most significant bit position. The process is
repeated n=4 times (the number of bits in the multiplier Q).If the bit of the multiplier is “1” then A=A+M
and the concatenation of AQ is shifted one bit position to the right. If on the other hand the bit is “0” then
only a shift operation is performed on AQ. The structure of the operation is given in the figure below.
The control logic is used to determine the operation to be performed depending on the LSB in Q. An n-bit
adder is used to add the contents of register A and M
The Figure shows a logic circuit arrangement that implements restoring division. An n-bit positive divisor is
loaded into register M and an n-bit positive dividend is loaded into register Q at the start of the operation.
Register A is set to 0. After the division is complete, the n-bit quotient is in register Q and the remainder is
in register A. The required subtractions are facilitated by using 2's-complement arithmetic. The extra bit
position at the left end of both A and M accommodates the sign bit during subtractions.
Algorithm for Restoring Division:
Do n times:
{ left shift A and Q by 1 bit
A A - M;
if A < 0 ( an-1 = 1) , then q0 0, A A+M , (restore)
else
q0 1;}
Note: When A and Q are left shifted, the MSB of Q becomes the LSB of A, and the MSB of A is lost. The
LSB of Q is made available for the next quotient bit.
Example: 8 divide by 3=2 (2/3)
In the algorithm above, if the subtraction produces a non-positive result (A>0), registers A and Q are left
shifted and the next subtraction is carried out. But if the subtraction produces a negative result (A<0), the
dividend need be first restored by adding the divisor back before left shift A and Q and the next subtraction:
Do n times:
{ left shift A and Q by 1 bit
if (previous A >=0 ) then A A - M
else A A + M ;
if (current A >=0) then q0 1;
else q0 0;
}
if (A<0) then A A + M (remainder must be positive)
The quotient (0010)2 is in register Q, and the reminder (0010)2 is in register A. The restoring division
requires two operations (subtraction followed by an addition to restore) for each zero in the quotient. But
non-restoring division only requires one operation (either addition or subtraction) for each bit in quotient.
FLOATING POINT ADDITION OPERATION
First, the exponent of one operand is subtracted from the other using the small ALU to determine which is
larger and by how much. This difference controls the three multiplexors; from left to right, they select the
larger exponent, the significand of the smaller number, and the significand of the larger number. The smaller
significand is shifted right, and then the significands are added together using the big ALU. The
normalization step then shifts the sum left or right and increments or decrements the exponent. Rounding
then creates the final result, which may require normalizing again to produce the final result.
The first step shifts the significand of the smaller number to the right until its corrected exponent matches
that of the larger number
• If sum is not normalized adjust it
• Adjust the exponent
• Whenever the exponent is increased or decreased check for overflow or underflow(Exponent should
fits in its field)
Processor datapath control signals can be classified according to which part of the processor they control:
State controls
Combinational controls
A processor's datapath is conceptually organized into two parts:
State elements hold information about the state of the processor during the current clock cycle. All registers
are state elements.
Combinational logic determines the state of the processor for the next clock cycle. The ALU is
combinational logic.
Single-cycle organization
It is characterized by the fact that each instruction is executed in a single clock cycle. It is not a realistic
implementation — it requires two separate memories: one for data and one for instructions. Also, the clock
cycle has to be made quite long in order for all of the signals generated in a cycle to reach stable values.
Multi-cycle organization
This organization uses multiple clock cycles for executing each instruction. Each cycle does only a small
part of the work required so the cycles are much shorter. Much of the circuitry is the same as the single-
cycle implementation. However, more state components must be added to hold data that is generated in an
early cycle but used in a later cycle.
The first row of the table corresponds to the R-format instructions (add, sub, AND, OR, and slt).
For all these instructions, the source register fields are rs and rt, and the destination register field is
rd; this defines how the signals ALUSrc and RegDst are set.
Furthermore, an R-type instruction writes a register (RegWrite = 1), but neither reads nor writes data
memory. When the Branch control signal is 0, the PC is unconditionally replaced with PC + 4;
otherwise, the PC is replaced by the branch target if the Zero output of the ALU is also high. The
ALUOp field for R‑type instructions is set to 10 to indicate that the ALU control should be
generated from the funct field.
The second and third rows of this table give the control signal settings for lw and sw. These
ALUSrc and ALUOp fields are set to perform the address calculation. The MemRead and
MemWrite are set to perform the memory access. Finally, RegDst and RegWrite are set for a load to
cause the result to be stored into the rt register.
The branch instruction is similar to an R-format operation, since it sends the rs and rt registers to the
ALU. The ALUOp field for branch is set for a subtract (ALU control = 01), which is used to test for
equality. Notice that the MemtoReg field is irrelevant when the RegWrite signal is 0: since the register is not
being written, the value of the data on the register data write port is not used. Thus, the entry MemtoReg in
the last two rows of the table is replaced with X for don’t care. Don’t cares can also be added to RegDst
when RegWrite is 0. This type of don’t care must be added by the designer, since it depends on knowledge
of how the datapath works.
1.1 Multiplexers
A multiplexer is a logic component with multiple data inputs (X and Y), a single control input (Sel),
and a single output (Out). At any time its output is the same as one of its inputs which is determined by the
control input. The number of bits in the output signal can in principle be any number. Each data input has
the same number of bits. A multiplexer can in principle have any number of data inputs. The control signal
has as many bits as needed for the selection. One bit suffices for just 2 data inputs, 2 bits suffices for 3 or 4
data inputs, and 3 bits suffices for 5 to 8 data inputs. In MIPS Single-Cycle Diagram, the three multiplexers
in the MIPS diagram all have just two 32-bit data inputs and their outputs are the same size. Since they have
only two data inputs, their control inputs are just one bit.
1.2 Sign Extension
The Sign Ext component performs sign extension, converting a 16-bit 2's complement number to a 32-
bit 2's complement number. The low-order 16 bits of the output are the same as the input. The high-order 16
bits of the output are all copies of the sign (high-order) bit of the input.
1.3 Adder
An adder just performs binary addition of its two inputs to produce a sum output.
ALU
The ALU (Arithmetic-Logic Unit) can perform a number of different operations, combining its
two data inputs (X and Y) into a single primary output (Out). Typical operations include additions,
subtractions, and bitwise logical operations. The ALU also has a secondary output (cc) that encodes a
comparison of its inputs. It is a 2-bit signal. One bit is true when X = Y, the other when X > Y. The cc
output is only valid when the ALU is directed to do a subtraction.
The operation performed by the ALU is determined by a control signal (Op). For comparisons the control
signal usually directs the ALU to do a subtraction.
1.5 Control
The (main) control component uses the opcode field of the instruction to generate most of the control
signals.
PC Update Control
The PC Update Control component handles program counter update as directed by a Branch control
signal and a comparison code (CC) signal from the ALU. It selects among addresses constructed from the
incremented PC (PC+4), the instruction imm field, and the instruction targ field.
MIPS Single-Cycle Diagram
The comparison code is also a single bit: the zero output of the ALU, which indicates equality when
the ALU is directed to subtract. The implementation in following Figure only supports a branch on equality
and no jumps. In Figure, jumps are supported by adding a Jump control signal. We can view this as
converting the Branch signal into a 2-bit signal, though perhaps it should be renamed. Supporting a variety
of branch conditions requires additional information from the ALU about the comparison and additional
Branch control bits to indicate when branching should occur.
2. State Components
St. Joseph’s College of Engineering Page 35 of 105
CS1304-Computer Architecture Department of CSE & IT
2.1 The Program Counter (PC)
The PC is just a simple 32-bit register. Its output (pc) is used as the address for Instruction Memory. It also
feeds into an adder to generate the default pc+4 value address of the next instruction. This is one of the
several inputs to the PC Update Control that it uses to generate its newpc output.
Program Counter Update
When the clock (not shown) starts a new cycle pc changes to match the newpc input. The newpc input is
generated by the PC Update Control circuitry.
2.2 Registers
The Registers component is a register bank — a component that contains multiple registers and provides
read and write access to them.
In the MIPS processor there are 32 registers in the Registers component. Each register consists of 32 flip-
flops, each storing 1 bit of data. In addition the component contains two read ports and one write port.
Read Port
The input signal RdReg1 and the output signal RdData1 make up the first read port. The input
signal RdReg2 and the output signal RdData2 make up the second read port.
The input signals, RdReg1 and RdReg2, are 5-bit signals that specify a register number.
The output signals, RdData1 and RdData2, are 32-bit signals. Their values are the contents of the
register specified by the port input signal.
Write Port
The input signals, WrReg and WrData, and the control input signal WrEn make up the write port.
WrReg specifies the register number for the register to be written.
WrData specifies the data to be written to the register.
WrEn enables the write when its value is 1. The write does not happen if WrEn is 0. This signal
is necessary because not all instructions write to a register.
2.3Data Memory
The Data Memory component is actually just an interface to the bus that interconnects the processor, main
memory, and I/O devices. Since other devices use this bus, an enable signal is required for both memory
reads and memory writes.
Note that the Address input is shared by both the read port and the write port.
Read Port
The input signal, Address, the output signal, RdData, and the control input signal RdEn make up the read
port.
The input signal Address is a 32-bit signal that specifies a memory address.
The output signal RdData is a 32-bit signal. Its value is the data at the address specified by
the Address input signal.
RdEn enables the read when its value is 1. The write does not happen if RdEn is 0. This signal is
necessary to ensure the processor does not access the bus except when it needs to.
Write Port
The input signals, Address and WrData, and the control input signal WrEn make up the write port.
The input signal Address is a 32-bit signal that specifies a memory address.
WrData specifies the data to be written at that address.
WrEn enables the write when its value is 1. The write does not happen if WrEn is 0. This signal
is necessary to ensure the processor does not access the bus except when it needs to.
St. Joseph’s College of Engineering Page 36 of 105
CS1304-Computer Architecture Department of CSE & IT
Similarly, we can illustrate the execution of a load word, such as lw $t1, offset($t2)
Under ideal conditions and with a large number of instructions, the speed-up from pipelining is
approximately equal to the number of pipeline stages; a five-stage pipeline is nearly five times faster.
Pipelining improves performance by increasing instruction throughput, as opposed to decreasing the
execution time of an individual instruction, but instruction throughput is the important metric because real
programs execute billions of instructions.
MIPS PROCESSOR – PIPELINE STAGES
The same principles apply to processors where the pipeline instructions are in execution. MIPS
instructions classically take five steps:
1. Fetch instruction from memory
2. Read registers while decoding the instruction. The regular format of MIPS instructions allows
reading and decoding to occur simultaneously
3. Execute the operation or calculate an address
4. Access an operand in data memory
5. Write the result into a register
A pipelined processor allows multiple instructions to execute at once, and each instruction uses a
different functional unit in the datapath. This increases throughput, so programs can run faster. One
instruction can finish executing on every clock cycle, and simpler stages also lead to shorter cycle times.
Example – Single-Cycle versus Pipelined Performance
Consider a simple program segment consists of eight instructions: lw, sw, add, sub, AND, OR, slt
and beq. Compare the average time between instructions of a single-cycle implementation, in which all
instructions take 1 clock cycle, to a pipelined implementation. The operation times for the major functional
units in this example are 200 ps for memory access, 200 ps for ALU operation, and 100 ps for register file
read or write.
Figure 3.29 shows the time required for each of the eight instructions. The single-cycle design must
St. Joseph’s College of Engineering Page 39 of 105
CS1304-Computer Architecture Department of CSE & IT
allow for the slowest instruction – in Figure 3.29 it is lw – so the time required for every instruction is 800
ps. Thus, the time between the first and fourth instructions in the non-pipelined design is 3 x 800 ns or 2400
ps.
Figure 3.29 Total time for each instruction calculated from the time for each component
Figure 3.30 Single-Cycle, Non-Pipelined Execution in top versus Pipelined Execution in bottom.
All the pipeline stages take a single clock cycle, so the clock cycle must be long enough to
accommodate the slowest operation. Just as the single-cycle design must take the worst-case clock cycle of
800 ps, even though some instructions can be as fast as 500 ps, the pipelined execution clock cycle must
have the worst-case clock cycle of 200 ps, even though some stages take only 100 ps. Pipelining still offers
a fourfold performance improvement; the time between the first and fourth instructions is 3 x 200 ps or 600
ps.
Pipelining suggests that a five-stage pipeline should offer nearly a fivefold improvement over the
800 ps non-pipelined time, or a 160 ps clock cycle. The above example shows that the stages may be
imperfectly balanced.
PIPELINED DATAPATH & its CONTROL
The goal of pipelining is to allow multiple instructions execute at the same time. It may need to
perform several operations in a clock cycle such as increment the PC and add registers at the same time,
fetch one instruction while another one reads or writes data, etc,.
Pipelined Datapath
The division of an instruction into five stages means a five-stage pipeline, which in turn means that up
to five instructions will be in execution during any single clock cycle. Thus, it must separate the datapath
into five pieces, with each piece named corresponding to a stage of instruction execution.
1. IF: Instruction Fetch
2. ID: Instruction decode and register file read
3. EX: Execution or Address Calculation
4. MEM: Data Memory Access
5. WB: Write Back
In figure 3.1, these five components correspond roughly to the way the datapath is drawn;
Figure 3.2 Instructions being executed using the single -cycle datapath
This figure seems to suggest that three instructions need three datapaths. Instead, add registers to
hold data so that portions of a single datapath can be shared during instruction execution. The instruction
St. Joseph’s College of Engineering Page 41 of 105
CS1304-Computer Architecture Department of CSE & IT
memory is used during only one of the five stages of an instruction, allowing it to be shared by following
instructions during the other four stages. To retain the value of an individual instruction for its other four
stages, the value read from instruction memory must be saved in a register. Similar arguments apply to
every pipeline stage must be placed in registers.
Figure 3.3 shows the pipelined datapath with the pipeline registers highlighted. All instructions
advance during each clock cycle from one pipeline register to the next. The registers are named for the
two stages separated by that register. For example, the pipeline register between the IF and ID stages is
called IF/ID.
Notice that there is no pipeline register at the end of the write-back stage. All instructions must
update some state in the processor – the register file, memory or the PC – so a separate pipeline register is
redundant to the state that is updated. Every instruction updates the PC, whether by incrementing it or by
setting to a branch target address. The PC can be thought of as a pipeline register; one that feeds the IF
stage of the pipeline.
Unlike the shaded pipeline registers in the above figure, however, the PC is part of the visible
architectural state, its contents must be saved when an exception occurs, while the contents of the pipeline
registers can be discarded.
Examples:
Pipelined Datapath for a Load Instruction:
The following sequence of diagrams shows the active portions of the datapath highlighted as a
load instruction goes through the five stages of pipelined execution. Load is shown first because it is
active in all five stages. It is highlight the right half of registers or memory when they are being read and
highlight the left half when they are being written.
1. Instruction Fetch:
Figure 3.34 shows the instruction being read from memory using the address in the PC and then being
placed in the IF/ID pipeline register. The PC address is incremented by 4 and then written back into the
PC to be ready for the next clock cycle. This incremented address is saved in the IF/ID pipeline register in
case it is needed later for an instruction, such as beq. The computer cannot know which type of instruction
is being fetched, so it must prepare for any instruction, passing potentially needed information down the
pipeline.
Figure 3.34 First stage (IF) of a Load instruction, with the active portions of the datapath
2. Instruction Decode and Read Register File Read: Figure 3.35 shows the instruction decode portion
of the IF/ID pipeline register supplying the 16-bit immediate field, which is sign-extended to 32-bits, and
the register numbers to read the two registers. All three values are stored in the ID/EX pipeline register,
along with the incremented PC address. We again transfer everything that might be needed by any
instruction during a later clock cycle.
Figure 3.35 Second stage (ID) of a Load instruction, with the active portions of the datapath in
Figure 3.33 highlighted
1. Execute or Address Calculation: Figure 3.36 shows that the load reads the contents of register 1
and the sign-extended immediate from the ID/EX pipeline register and adds them using the ALU.
That sum is placed in the EX/MEM pipeline register.
Figure 3.36 Third stage (EX) of a Load instruction, with the active portions of the datapath in
Figure 3.33 highlighted
2. Memory Access: Figure 3.37 shows the load instruction reading the data memory using the
address from the EX/MEM pipeline register and loading the data into the MEM/WB pipeline
register.
Figure 3.37 Fourth stage (MEM) of a Load instruction, with the active portions of the datapath in
Figure 3.33 highlighted
3. Write-Back: Figure 3.38 shows the final step – reading the data from the MEM/WB pipeline
register and writing it into the register file in the middle of the figure.
Figure 3.38 Fifth stage (WB) of a Load instruction, with the active portions of the datapath in
Figure 3.33 highlighted
1. Instruction Fetch: the instruction is read from memory using the address in the PC and then is
placed in the IF/ID pipeline register. This stage occurs before the instruction is identified, so the
figure 3.34 works for store as well.
Figure 3.39 First stage (IF) of a Store instruction, with the active portions of the datapath in Figure
3.33 highlighted – same as Figure 3.34
2. Instruction Decode and Read Register File: The instruction in the IF/ID pipeline register
supplies the register numbers for reading two registers and extends the sign of the 16-bit
immediate. These three 32-bit values are all stored in the ID/EX pipeline register. Figure 3.35 for
load instruction also shows the operations of the second stage for stores.
These first two stages are executed by all instructions, since it is too early to know the type of the
instruction.
Figure 3.40 Second stage (ID) of a Store instruction, with the active portions of the datapath in
Figure 3.33 highlighted – same as Figure 3.35
3. Execute and Address Calculation: Figure 3.41 shows the third step of a store instruction in
which the effective address is placed in the EX/MEM pipeline register.
Figure 3.41 Third stage (EX) of a Store instruction, with the active portions of the datapath in
Figure 3.33 highlighted
4. Memory Access: Figure 3.42 shows the data being written to memory. Note that the register
containing the data to be stored was read in an earlier stage and stored in ID/EX. The only way to
make the data available during the MEM stage is to place the data into the EX/MEM pipeline
St. Joseph’s College of Engineering Page 45 of 105
CS1304-Computer Architecture Department of CSE & IT
register in the EX stage, just as we stored the effective address into EX/MEM.
Figure 3.42 Fourth stage (MEM) of a Store instruction, with the active portions of the datapath in
Figure 3.33 highlighted
5. Write-Back: Figure 3.43 how the final step of the store. For this instruction, nothing happens in
the write-back stage. Since every instruction behind the store is already in progress, we have no
way to accelerate those instructions. Hence, an instruction passes through a stage even if there is
nothing to do, because later instructions are already progressing at the maximum rate.
Figure 3.43 Fifth stage (WB) of a Store instruction, with the active portions of the datapath in
Figure 3.33 highlighted
The store instruction again illustrates that to pass information from an early pipe stage to a later pipe
stage, the information must be placed in a pipeline register; otherwise the information is lost when the
next instruction enters that pipeline stage. For the store instruction we needed to pass one of the registers
read in the ID stage to the MEM stage, where it is stored in memory. The data was first placed in the
ID/EX pipeline register and then passed to the EX/MEM pipeline register. Both load and store illustrate a
second key point: each logical component of the datapath – such as instruction memory, register read
ports, ALU, data memory and register write port – can be used only within a single pipeline stage.
Otherwise, we would have a structural hazard. Hence these components, and their control, can be
associated with a single pipeline stage.
To provide control in a pipelined datapath, the following task has to be followed:
The first step is to label the control lines on the existing datapath.
Borrow/ Use the control lines from the simple datapath.
Use the same ALU control logic, branch logic, destination-register-number multiplexor,
Figure 3.49 shows the pipelined datapath of a MIPS processor with the control signal identified. In the
case of a single-cycle implementation, we assume that the PC is written on each clock cycle, so there is no
separate write signal for the PC. By the same argument, there are no separate write signals for the pipeline
registers (IF/ID, ID/EX. EX/MEM, and MEM/WB), since the pipeline registers are also written during
each clock cycle.
The pipelined datapath of Figure 3.49, with the control signals connected to the control portions of
the pipe line registers
Figure 3.52 Pipelined dependences in a five-instruction sequence using simplified datapaths to show
the dependences
This figure shows that the values read for register $2 would not be the result of the sub instruction
unless the read occurred during clock cycle 5 or later. Thus, the instructions that would get the correct
value of -20 are add and sw; the AND and OR instructions would get the incorrect value of 10. Using this
style of drawing, such problems become apparent when a dependence line goes backward in time.
However, the desired result is available at the end of the EX stage or clock cycle 3. Thus, it is
possible to execute this segment without stalls if simply forward the data as soon as it is available to any
units that need it before it is available to read from the register file. Consider only the challenge of
forwarding to an operation in the EX stage, which may be either an ALU operation or an effective address
St. Joseph’s College of Engineering Page 48 of 105
CS1304-Computer Architecture Department of CSE & IT
calculation. This means that when an instruction tries to use a register in its EX stage that an earlier
instruction intends to write in its WB stage, actually need the values as inputs to the ALU.
A notation that names the fields of the pipeline registers allows for a more precise notation of
dependences. For example, “ID/EX.RegisterRs” refers to the number of one register whose value is found
in the pipeline register ID/EX; that is, the one from the first read port of the register file. The first part of
the name, to the left of the period, is the name of the pipeline register; the second part is the name of the
field in that register.
Using this notation, the two pairs of hazard conditions are
1a. EX/MEM.RegisterRd = ID/EX.RegisterRs
1b. EX/MEM.RegisterRd = ID/EX.RegisterRt
2a. MEM/WB.RegisterRd = ID/EX.RegisterRs
2b. MEM / WB.RegisterRd = ID/EX.RegisterRt
The first hazard will occur in register $2 between the result of sub $2, $1, $3 and the first read
operand of and $12, $2, $5. This hazard can be detected when the add instruction is in the EX stage and
the prior instruction is in the MEM stage, so this belongs to hazard 1a:
EX/MEM.RegisterRd = ID/EX.RegisterRs = $2.
The remaining hazards are as follows:
The sub-or is a type 2b hazard: MEM/WB.RegisterRd = ID/EX.RegisterRt = $2.
The two dependences on sub-add are not hazards because the register file supplies the proper
data during the ID stage of add.
There is no data hazard between sub and sw because sw reads $2 the clock cycle after sub
writes $2.
Let’s now write both the conditions for detecting hazards and the control signals to resolve them:
Data Hazards and Stalls
One case where forwarding cannot work is when an instruction tries to read a register following a
load instruction that writes the same register. Figure 3.57 illustrates the problem. The data is still being
read from memory in clock cycle 4 while the ALU is performing the operation for the following
instruction. Something must stall the pipeline for the combination of load followed by an instruction that
reads its result.
Hence, in addition to a forwarding unit, need a hazard detection unit. It operates during the ID
stage so that it can insert the stall between the load and its use. Checking for load instructions, the control
for the hazard detection unit is this single condition:
ID/EX.MemRead and
St. Joseph’s College of Engineering Page 49 of 105
CS1304-Computer Architecture Department of CSE & IT
((ID/EX.RegisterRt = IF/ID.RegisterRs) or (ID/EX.RegisterRt = IF/ID.RegisterRt))
The back half of the pipeline starting with the EX stage must be doing something; what it is doing
is executing instructions that have no effect: nop’s. By deasserting all nine control signals (setting them to
0) in the EX, MEM, and WB stages will create a “do nothing” or nop instruction. By identifying the
hazard in the ID stage, we can insert a bubble into the pipeline by changing the EX, MEM, and WB
control fields of the ID/EX pipeline register to 0. These control values are forwarded at each clock cycle
with the proper effect: no registers or memories are written if the control values are all 0.
Figure 3.58 shows what really happens in the hardware: the pipeline execution slot associated with
the AND instruction is turned into a nop and all instructions beginning with the AND instructions are
delayed one clock cycle. Like an air bubble in a water pipe, a stall bubble delays everything behind it and
proceeds down the instruction pipe one stage each cycle until it exits at the end.
Figure 3.58 The way stalls are really inserted into the pipeline
Figure 3.59 highlights the pipeline connections for both the hazard detection unit and the
forwarding unit. As before, the forwarding unit controls the ALU multiplexors to replace the value from a
general-purpose register with the value from the proper pipeline register. The hazard detection unit
controls the writing of the PC and IF/ID registers plus the multiplexor that chooses between the real
control values and all 0’s. The hazard detection unit stalls and deasserts the control fields if the load-use
hazard test above is true.
Figure 3.59 Pipelined control overview, showing the two multiplexors for forwarding, the hazard
detection unit, and the forwarding unit
Control Hazards
Control hazards occur when execute branch instructions in a pipeline process. It arises from the
need to make a decision based on the results of one instruction while others are executing.
Figure 3.60 shows a sequence of instructions and indicates when the branch would occur in this
pipeline. An instruction must be fetched at every clock cycle to sustain the pipeline, yet in our design the
decision about whether to branch doesn’t occur until the MEM pipeline stage. This delay in determining
the proper instruction to fetch is called a control hazard or branch hazard or instruction hazard.
Figure 3.61 The ID stage of clock cycle 3 determines that a branch must be taken, so it selects 72 as
the next PC address and zeros the instruction fetched for the next clock cycle
Two-bit Prediction Scheme:
The accuracy of the predictor would match the taken branch frequency for these highly regular
branches. To remedy this weakness, the 2-bit prediction schemes are often used. In a 2-bit scheme, a
prediction must be wrong twice before it is changed.
DATA HAZARD AND ITS HANDING
Data Hazards
When a planned instruction cannot execute in the proper clock cycle because data that is needed to
execute the instruction is not yet available. A data hazard is any condition in which either the source or the
destination operands of an instruction are not available at the time expected in the pipeline. As a result,
some operation has to be delayed and the pipeline stalls. In a computer pipeline, data hazards arise from
the dependence of one instruction on an earlier one that is still in the pipeline. For example, suppose an
add instruction followed immediately by a subtract instruction that uses the sum ($s0).
add $s0, $t0, $t1;
sub $t2, $s0, $t3;
Without intervention, a data hazard could severely stall the pipeline. The add instruction doesn’t
write its result until fifth stage, meaning that it has spent three clock cycles in the pipeline.
PIPELINE CONTROL
Add control to the single-cycle datapath, then add control to the pipelined datapath. To provide
control in a pipelined datapath, the following task has to be followed:
The first step is to label the control lines on the existing datapath.
Figure 3.49 shows the pipelined datapath of a MIPS processor with the control signal identified. In
the case of a single-cycle implementation, assume that the PC is written on each clock cycle, so there is no
separate write signal for the PC. By the same argument, there are no separate write signals for the pipeline
registers (IF/ID, ID/EX. EX/MEM, and MEM/WB), since the pipeline registers are also written during
each clock cycle.
Figure 3.49 The pipelined data path with the control signals identified
To specify control for the pipeline, need only to set the control values during each pipeline stage.
Because each control line is associated with a component active in only a single pipeline stage, divide the
control lines into five groups according to the pipeline stage.
1. Instruction Fetch: The control signals to read instruction memory and to write the PC are always
asserted, so there is nothing special to control in this pipeline stage.
2. Instruction Decode / Register File Read: As in the previous stage, the same thing happens at every
clock cycle, so there are no optional control lines to set.
3. Execution / Address Calculation: The signals to be set are RegDst, ALUop, and ALUSrc. These
signals select the result register, the ALU operation, and either read data 2 or a sign-extended
immediate for the ALU.
4. Memory Access: The control lines set in this stage are Branch, MemRead, and MemWrite. These
signals are set by the branch equal, load, and store instructions, respectively. The PCSrc selects the
next sequential address unless control asserts Branch and the ALU result was 0.
5. Write Back: The two control lines are MemtoReg, which decides between sending the ALU result
or the memory value to the register file, and RegWrite, which writes the chosen value.
Since the control lines start with the EX stage, create the control information during instruction
decode. Figure 3.50 shows that these control signals are then used in the appropriate pipeline stage as the
instruction moves down the pipeline, just as the destination register number for loads moves down the
pipeline.
Figure 3.51 shows the full datapath with the extended pipeline registers and with the control lines
connected to the proper stage
Implementing control means setting the nine control lines to these values in each stage for each
instruction. The simplest way to do this is to extend the pipeline registers to include control information.
CONTROL HAZARDS
Control hazards occur when execute branch instructions in a pipeline process. It arises from the
need to make a decision based on the results of one instruction while others are executing. Figure 3.60
shows a sequence of instructions and indicates when the branch would occur in this pipeline. An
instruction must be fetched at every clock cycle to sustain the pipeline, yet in our design the decision
about whether to branch doesn’t occur until the MEM pipeline stage. This delay in determining the proper
instruction to fetch is called a control hazard or branch hazard or instruction hazard.
Figure 3.61 The ID stage of clock cycle 3 determines that a branch must be taken, so it selects 72 as
the next PC address and zeros the instruction fetched for the next clock cycle
The limitations on delayed branch scheduling arise from the restrictions on the instructions that are
scheduled into the delay slots and our ability to predict at compile time whether a branch is likely to be
taken or not.
Delayed branching was a simple and effective solution for a five-stage pipeline issuing one
instruction each clock cycle. As processors go to both longer pipelines and issuing multiple instructions
per clock cycle, the branch delay becomes longer, and a single delay slot is insufficient. Hence, delayed
branching has lost popularity compared to more expensive but more flexible dynamic approaches.
Simultaneously, the growth in available transistors per chip has made dynamic prediction relatively
cheaper.
The basic action that the processor must perform when an exception occurs is to save the address
of the offending instruction in the exception program counter (EPC) and then transfer control to the
operating system at some specified address.
The OS can then take the appropriate action, which may involve providing some service to the
user program, taking some predefined action in response to an overflow, or stopping the execution of the
program and reporting an error. After performing whatever action is required because of the exception,
the operating system can terminate the program or may continue its execution, using the EPC to determine
where to restart the execution of the program.
For the OS to handle the exception, it must know the reason for the exception, in addition to the
instruction that caused it. There are two main methods used to communicate the reason for an exception.
1. The method used in the MIPS architecture is to include a status register (called the cause register),
which holds a field that indicates the reason for the exception.
2. A second method is to use vectored interrupts. In a vectored interrupt, the address to which control is
transferred is determined by the cause of the exception.
For example, to accommodate the two exception types listed above, define the following two exception
vector addresses:
1. EPC: A 32-bit register used to hold the address of the affected instruction. Such a register is needed
even when exceptions are vectored.
2. Cause Register: A register used to record the cause of the exception. In the MIPS architecture, this
register is 32-bits, although some bits are currently unused. Assume there is a five-bit field that
encodes the two possible exception sources mentioned above, with 10 representing an unused
instruction and 12 representing arithmetic overflow.
a. Arithmetic Overflow
A pipelined implementation treats exceptions as another form of control hazard. Suppose there is an
arithmetic overflow in an add instruction, then flush the instructions that follow the add instruction from
the pipeline and begin fetching instructions from the new address. Same mechanism is used for taken
branches, but this time the exception causes the deasserting of control lines.
Figure(a): The result of an exception due to arithmetic overflow in the add instruction
Imprecise Exceptions
It is also called as imprecise interrupt. Interrupts or exceptions in pipelined computer that are not
associated with the exact instruction that was the cause of the interrupt or exception.
Precise Exceptions:
It is also called as precise interrupt. An interrupt or exception that is always associated with the
correct instruction in pipelined computers.
UNIT IV PARALLELISM
Parallel processing challenges – Flynn‘s classification – SISD, MIMD, SIMD, SPMD, and Vector
Architectures - Hardware multithreading – Multi-core processors and other Shared Memory
Multiprocessors - Introduction to Graphics Processing Units, Clusters, Warehouse Scale Computers and
other Message-Passing Multiprocessors.
Instruction Level Parallel Processing:
Architectural technique that allows the overlap of individual machine operations ( add, mul,
load, store …)
Multiple operations will execute in parallel (simultaneously)
Goal: Speed Up the execution
Example:
load R1 R2 add R3 R3, “1”
add R3 R3, “1” add R4 R3, R2
add R4 R4, R2 store [R4] R0
Sequential execution (Without ILP)
Add r1, r2 r8 4 cycles
Add r3, r4 r7 4 cycles Total of 8 cycles
ILP execution (overlap execution)
Add r1, r2 r8
Add r3, r4 r7 Total of 5 cycles
ILP Architectures
Sequential Architectures: the program is not expected to convey any explicit information regarding
parallelism. (Superscalar processors)
Dependence Architectures: the program explicitly indicates the dependences that exist between
operations (Dataflow processors)
Independence Architectures: the program provides information as to which operations are
independent of one another. (VLIW processors)
ILP and parallel processing
Overlap individual machine operations (add, mul, load…) so that they execute in parallel
Transparent to the user
Goal: speed up execution
Parallel Processing
Having separate processors getting separate chunks of the program ( processors programmed to
do so)
Nontransparent to the user
Goal: speed up and quality up
Challenges in ILP
In order to achieve parallelism we should not have dependences among instructions which are
executing in parallel:
H/W terminology Data Hazards ( RAW, WAR, WAW)
S/W terminology Data Dependencies
PARALLEL PROCESSING CHALLENGES
Parallel processing will increase the performance of processor and it will reduce the utilization time to
execute a task. The difficulty with parallelism is not the hardware; it is that too few important application
programs have been rewritten to complete tasks sooner on multiprocessors.
It is difficult to write software that uses multiple processors to complete one task faster, and the
problem gets worse as the number of processors increases.
Difficulty in Developing Parallel Processing programs
Developing the parallel processing programs are so harder than the sequential programs because of
The first reason is that you must get better performance and efficiency from a
parallel processing program on a multiprocessor; otherwise, you would just use a
sequential program on a Uniprocessor, as programming is easier.
Speedup =
or Speedup =
Fractionenhanced (Fe )
It is the fraction of the computation time in the original machine that can be
converted to take advantage of the enhancement. For example, if CPU’s I/O section is
enhanced and it is assumed that CPU is busy 60% of the time in I/O operations, then
fractionenhanced = 0.6. Fraction enhanced is always less than or equal to 1.
Speedupenhanced (Se )
It tells how much faster the task would run if the enhancement mode was used for
the entire program. For example, if CPU’s I/O section is made 10 times faster then
speedupenhanced is 10. Speed up enhancement is always greater than 1.
Amdahl’s law gives us a quick way to find the speed up from two factors:
Fractionenhanced (Fe) and Speedupenhanced (Se). It is given as
Therefore, Speedup =
Speedup =
Problems related to Amdahl’s Law:
1. Suppose you want to achieve a speed-up of 80 times fester with 100 processors. What percentage
of the original computation can be sequential?
Solution:
Given data’s, Speedup = 80, Speed Enhanced = S e = 100, Fe = ?
Amdahl’s law says that,
We can reformulate Amdahl’s law in terms of speed-up versus the original execution time:
This formula is usually rewritten assuming that the execution time before is 1 for some unit of
St. Joseph’s College of Engineering Page 64 of 105
CS1304-Computer Architecture Department of CSE & IT
time, and the execution time affected by improvement is considered the fraction of the original
execution time:
So we have, Speedup =
80 =
Solving for Fe, we have
Thus to achieve a speedup of 80 from 100 processors, the sequential percentage can only be 0.3%.
Thus, we can say that the remaining 49 processors are utilized less than half the time as
compared to 64t for hardest working processor.
b) If one processor has 10% of the load, it must perform 10% x 1600 or 160 additions. Thus,
Thus, we can say that the remaining 49 processors are utilized less than 20% of the time as
compared to 160t for hardest working processor.
This example demonstrates the value of balancing the load, for just a single processor with twice
the load of the others cuts speed-up almost in half, and five times the load on one processor reduces the
speed-up by almost a factor of five.
The ALU and data transfer instructions are issued at the same time.
Here we have assumed the same five-stage structure as used for the single-issue pipeline. Although
this is not strictly necessary, it does have some advantages.
In particular, keeping the register writes at the end of the pipeline simplifies the handling of
St. Joseph’s College of Engineering Page 67 of 105
CS1304-Computer Architecture Department of CSE & IT
exceptions and the maintenance of a precise exception model, which become more difficult in multiple-
issue processors.
Reorder the instructions to avoid as many pipeline stalls as possible. Assume branches are predicted, so
that control hazards are handled by the hardware.
The first three instructions have data dependences, and so do the last two. The following table shows the
best schedule for these instructions. Notice that just one pair of instructions has both issue slots used. I t
takes four clocks per loop iteration; at four clocks to execute five instructions, we get the disappointing
CPI of 0.8 versus the best case of 0.5., or an I P C of 1.25 versus 2.0. Notice that in computing CPI or
IPC, we do not count any nops executed as useful instructions. Doing so would improve CPI, but not
performance!
The scheduled code as it would look on a two-issue MIPS pipeline. The empty slots are nops.
Dynamic pipeline scheduling chooses which instructions to execute next, possibly reordering them to
avoid stalls. In such processors, the pipeline is divided into three major units: an instruction fetch and
issue unit, multiple functional units (a dozen or more in high-end designs in 2008), and a commit unit.
The first unit fetches instructions, decodes them, and sends each instruction to a corresponding
functional unit for execution. Each functional unit has buffers, called reservation stations, which
hold the operands and the operation.
As soon as the buffer contains all its operands and the functional unit is ready to execute, the result
is calculated.
When the result is completed, it is sent to any reservation stations waiting for this particular result
as well as to the commit unit, which buffers the result until it is safe to put the result into the
register file or, for a store, into memory.
The buffer in the commit unit, often called the reorder buffer, is also used to supply operands, in
much the same way as forwarding logic does in a statically scheduled pipeline.
Once a result is committed to the register file, it can be fetched directly from there, just as in a
normal pipeline.
FLYNN’S CLASSIFICATION
Flynn’s Taxonomy In 1966, Michael Flynn proposed a classification for computer architectures based
on the number of instruction steams and data streams.
Flynn’s Classification
SISD
SISD (Singe-Instruction stream, Singe-Data stream)
SISD corresponds to the traditional mono-processor ( von Neumann computer). A single data stream is
being processed by one instruction stream OR
A single-processor computer (uni-processor) in which a single stream of instructions is generated from
the program.
SIMD
MISD
MISD (Multiple-Instruction streams, Singe-Data stream)
MIMD
MIMD (Multiple-Instruction streams, Multiple-Data streams)
Each processor has a separate program.
An instruction stream is generated from each program.
Each instruction operates on different data.
This last machine type builds the group for the traditional multi-processors. Several processing
units operate on multiple-data streams.
SPMD (single program, multiple data) is a technique employed to achieve parallelism; it is a subcategory
of MIMD. Tasks are split up and run simultaneously on multiple processors with different input in
order to obtain results faster.
In SPMD, multiple autonomous processors simultaneously execute the same program at independent
points, rather than in the lockstep that SIMD imposes on different data. With SPMD, tasks can be
executed on general purpose CPUs; SIMD requires vector processors to manipulate data streams. Note
that the two are not mutually exclusive.
VECTOR
An older and more elegant interpretation of SIMD is called a vector architecture, which has been closely
identified with Cray Computers. It is again a great match to problems with lots of data-level parallelism.
Rather than having 64 ALUs perform 64 additions simultaneously, like the old array processors, the
vector architectures pipelined the ALU to get good performance at lower cost. The basic philosophy of
vector architecture is to collect data elements from memory, put them in order into a large set of registers,
operate on them sequentially in registers, and then write the results back to memory. A key feature of
vector architectures is a set of vector registers. Thus, a vector architecture might have 32 vector registers,
each with 64 64-bit elements.
Advantages
Each processor has its own local memory system
More total bandwidth in the memory system than in a centralized memory system
The latency to complete a memory request is lower─ each processor’s memory is located
physically close to it
Disadvantages
Only some of the data in the memory is directly accessible by each processor, since a processor
can only read and write its local memory system
Requires communication through the network and leads to the coherence problem─ major source
of complexity in shared-memory systems
Possible that could exist in different processors’ memories • Leads to different processors having
different values for the same variable
MULTI-CORE COMPUTING
All computers are now parallel computers. Multi-core processors represent an important new trend in
computer architecture. Decreased power consumption and heat generation. Minimized wire lengths and
interconnect latencies. They enable true thread-level parallelism with great energy efficiency and
scalability. To utilize their full potential, applications will need to move from a single to a multi-threaded
model. Parallel programming techniques likely to gain importance. The difficult problem is not building
multi-core hardware, but programming it in a way that lets mainstream applications benefit from the
continued exponential growth in CPU performance. The software industry needs to get back into the state
where existing applications run faster on new hardware.
Challenges resulting from Multicore:
Relies on effective exploitation of multiple-thread parallelism
o Need for parallel computing model and parallel programming model
Aggravates memory wall
Memory bandwidth
St. Joseph’s College of Engineering Page 74 of 105
CS1304-Computer Architecture Department of CSE & IT
o Way to get data out of memory banks
o Way to get data into multi-core processor array
Memory latency
Fragments L3 cache
Pins become strangle point
o Rate of pin growth projected to slow and flatten
o Rate of bandwidth per pin (pair) projected to grow slowly
Requires mechanisms for efficient inter-processor coordination
o Synchronization
o Mutual exclusion
o Context switching
Advantages:
1. Cache coherency circuitry can operate at a much higher clock rate than is possible if the signals
have to travel off-chip.
2. Signals between different CPUs travel shorter distances, those signals degrade less.
3. These higher quality signals allow more data to be sent in a given time period since individual
signals can be shorter and do not need to be repeated as often.
4. A dual-core processor uses slightly less power than two coupled single-core processors.
Disadvantages
1. Ability of multi-core processors to increase application performance depends on the use of
multiple threads within applications.
2. Most Current video games will run faster on a 3 GHz single-core processor than on a 2GHz dual-
core processor (of the same core architecture.
3. Two processing cores sharing the same system bus and memory bandwidth limits the real-world
performance advantage.
4. If a single core is close to being memory bandwidth limited, going to dual-core might only give
30% to 70% improvement.
5. If memory bandwidth is not a problem, a 90% improvement can be expected.
INTRODUCTION TO GRAPHICS PROCESSING UNITS
A major justification for adding SIMD instructions to existing architectures was that many
microprocessors were connected to graphics displays in PCs and workstations, so an increasing fraction of
processing time was used for graphics. Hence, as Moore’s law increased the number of transistors
available to microprocessors, it made sense to improve graphics processing.
Moreover, at the very high end were expensive graphics cards typically from Silicon Graphics that
could be added to workstations, to enable the creation of photographic quality images. These high-end
graphics cards were popular for creating computer-generated images that later found their way into
television advertisements and then into movies. Thus, video graphics controllers had a target to shoot for
as processing resources increased, much as supercomputers provided a rich resource of ideas for
microprocessors to borrow in the quest for greater performance.
A major driving force for improving graphics processing was the computer game industry, both
on PCs and in dedicated game consoles such as the Sony PlayStation. The rapidly growing game market
encouraged many companies to make increasing investments in developing faster graphics hardware, and
this positive feedback led graphics processing to improve at a faster rate than general-purpose processing
in mainstream microprocessors.
Given that the graphics and game community had different goals than the microprocessor
development community, it evolved its own style of processing and terminology. As the graphics
processors increased in power, they earned the name Graphics Processing Units or GPUs to distinguish
themselves from CPUs. Here are some of the key characteristics as to how GPUs vary from CPUs:
■ GPUs are accelerators that supplement a CPU, so they do not need be able to perform all the tasks of a
CPU. This role allows them to dedicate all their resources to graphics. It’s fine for GPUs to perform some
St. Joseph’s College of Engineering Page 75 of 105
CS1304-Computer Architecture Department of CSE & IT
tasks poorly or not at all, given that in a system with both a CPU and a GPU, the CPU can do them if
needed. Thus, the CPU-GPU combination is one example of heterogeneous multiprocessing, where not all
the processors are identical. (Another example is the IBM Cell architecture which was also designed to
accelerate 2D and 3D graphics.)
■ The programming interfaces to GPUs are high-level application programming interfaces (APIs), such as
OpenGL and Microsoft’s DirectX, coupled with high-level graphics shading languages, such as
NVIDIA’s C for Graphics (Cg) and Microsoft’s High Level Shader Language (HLSL). The language
compilers target industry-standard intermediate languages instead of machine instructions. GPU driver
software generates optimized GPU-specific machine instructions. While these APIs and languages evolve
rapidly to embrace new GPU resources enabled by Moore’s law, the freedom from backward binary
instruction compatibility enables GPU designers to explore new architectures without the fear that they
will be saddled with implementing failed experiments forever. This environment leads to more rapid
innovation in GPUs than in CPUs.
■ Graphics processing involves drawing vertices of 3D geometry primitives such as lines and triangles
and shading or rendering pixel fragments of geometric primitives. Video games, for example, draw 20 to
30 times as many pixels as vertices.
■ Each vertex can be drawn independently, and each pixel fragment can be rendered independently. To
render millions of pixels per frame rapidly, the GPU evolved to execute many threads from vertex and
pixel shader programs in parallel.
■ The graphics data types are vertices, consisting of (x, y, z, w) coordinates, and pixels, consisting of (red,
green, blue, alpha) color components. GPUs represent each vertex component as a 32-bit floating-point
number. Each of the four pixel components was originally an 8-bit unsigned integer, but recent GPUs now
represent each component as single-precision floating-point number between 0.0 and 1.0.
■ The working set can be hundreds of megabytes, and it does not show the same temporal locality as data
does in mainstream applications. Moreover, there is a great deal of data-level parallelism in these tasks.
These differences led to different styles of architecture
■ Perhaps the biggest difference is that GPUs do not rely on multilevel caches to overcome the long
latency to memory, as do CPUs. Instead, GPUs rely on having enough threads to hide the latency to
memory. That is, between the time of a memory request and the time that data arrives, the GPU executes
hundreds or thousands of threads that are independent of that request.
■ GPUs rely on extensive parallelism to obtain high performance, implementing many parallel processors
and many concurrent threads.
■ The GPU main memory is thus oriented toward bandwidth rather than latency. There are even separate
DRAM chips for GPUs that are wider and have higher bandwidth than DRAM chips for CPUs. In
addition, GPU memories have traditionally had smaller main memories than conventional
microprocessors. In 2008, GPUs typically have 1 GB or less, while CPUs have 2 to 32 GB. Finally, keep
in mind that for general-purpose computation, you must include the time to transfer the data between CPU
memory and GPU memory, since the GPU is a coprocessor.
■ Given the reliance on many threads to deliver good memory bandwidth, GPUs can accommodate many
parallel processors as well as many threads. Hence, each GPU processor is highly multithreaded.
■ In the past, GPUs relied on heterogeneous special purpose processors to deliver the performance
needed for graphics applications. Recent GPUs are heading toward identical general-purpose processors to
give more flexibility in programming, making them more like the multicore designs found in mainstream
computing.
■ Given the four-element nature of the graphics data types, GPUs historically have SIMD instructions,
like CPUs. However, recent GPUs are focusing more on scalar instructions to improve programmability
and efficiency.
■ Unlike CPUs, there has been no support for double precision floating-point arithmetic, since there has
been no need for it in the graphics applications. In 2008, the first GPUs to support double precision in
hardware were announced. Nevertheless, single precision operations will still be eight to ten times faster
than double precision, even on these new GPUs, while the difference in performance for CPUs is limited
to benefits in transferring fewer bytes in the memory system due to using narrow data.
COMPUTER ARCHITECTURE OF WAREHOUSE-SCALE COMPUTERS
Networks are the connective tissue that binds 50,000 servers together. Analogous to the memory
Storage
A natural design is to fill a rack with servers, minus whatever space you need forthe commodity Ethernet
rack switch. This design leaves open the question of where the storage is placed. From a hardware
construction perspective, the simplest solution would be to include disks inside the server, and rely on
Ethernet connectivity for access to information on the disks of remote servers. The alternative would be to
use network attached storage (NAS), perhaps over a storage network like Infiniband. The NAS solution is
generally more expensive per terabyte of storage, but it provides many features, including RAID
techniques to improve dependability of the storage. As you might expect from the philosophy expressed in
the prior section, WSCs generally rely on local disks and provide storage software that handles
connectivity and dependability. For example, GFS uses local disks and maintains at least three replicas to
overcome dependability problems. This redundancy covers not just local disk failures, but also power
failures to racks and to whole clusters. The eventual consistency flexibility of GFS lowers the cost of
keeping replicas consistent, which also reduces the network bandwidth requirements of the storage
system. Local access patterns also mean high bandwidth to local storage. Beware that there is confusion
about the term cluster when talking about the architecture of a WSC. WSC is just an extremely large
cluster. Cluster to mean the next-sized grouping of computers, in this case about 30 racks. In this chapter,
to avoid confusion we will use the term array to mean a collection of racks, preserving the original
meaning of the word cluster to mean anything from a collection of networked computers within a rack to
an entire warehouse full of networked computers.
Array Switch
The switch that connects an array of racks is considerably more expensive than the 48-port commodity
Ethernet switch. This cost is due in part because of the higher connectivity and in part because the
bandwidth through the switch must be much higher to reduce the oversubscription problem. A switch that
has 10 times the bisection bandwidth—basically, the worst-case internal bandwidth—of a rack switch
costs about 100 times as much.
One reason is that the cost of switch bandwidth for n ports can grow as n2. Another reason for the high
costs is that these products offer high profit margins for the companies that produce them. They justify
St. Joseph’s College of Engineering Page 77 of 105
CS1304-Computer Architecture Department of CSE & IT
such prices in part by providing features such as packet inspection that are expensive because they must
Operate at very high rates. For example, network switches are major users of content-addressable memory
chips and of field-programmable gate arrays (FPGAs), which help provide these features, but the chips
themselves are expensive. While such features may be valuable for Internet settings, they are generally
unused inside the datacenter.
WSC Memory Hierarchy
Figure 6.6 shows the latency, bandwidth, and capacity of memory hierarchy inside a WSC, and Figure 6.7
shows the same data visually. These figures are based on the following assumptions.
Each server contains 16 GBytes of memory with a 100-nanosecond access time and transfers at 20
Bytes/sec and 2 terabytes of disk that offers a 10-millisecond access time and transfers at 200 Bytes/sec.
There are two sockets per board, and they share one 1 Gbit/sec Ethernet port.
■ Every pair of racks includes one rack switch and holds 80 2U servers. Networking software plus switch
overhead increases the latency to DRAM to 100 microseconds and the disk access latency to 11
milliseconds. Thus, the total storage capacity of a rack is roughly 1 terabyte of DRAM and 160 terabytes
of disk storage. The 1 Gbit/sec Ethernet limits the remote bandwidth to DRAM or disk within the rack to
100 MBytes/sec.
■ The array switch can handle 30 racks, so storage capacity of an array goes up by a factor of 30: 30
terabytes of DRAM and 4.8 petabytes of disk. The array switch hardware and software increases latency
to DRAM within an array to 500 microseconds and disk latency to 12 milliseconds. The bandwidth of the
array switch limits the remote bandwidth to either array DRAM or array disk to 10 MBytes/sec.
Figures 6.6 and 6.7 show that network overhead dramatically increases latency from local DRAM to rack
DRAM and array DRAM, but both still have more than 10 times better latency than the local disk. The
network collapses the difference in bandwidth between rack DRAM and rack disk and between array
DRAM and array disk. The WSC needs 20 arrays to reach 50,000 servers, so there is one more level of the
networking hierarchy. Figure 6.8 shows the conventional Layer 3 routers to connect the arrays together
and to the Internet. Most applications fit on a single array within a WSC. Those that need more than one
array use sharding or partitioning, meaning that the dataset is split into independent pieces and then
distributed to different arrays. Operations on the whole dataset are sent to the servers hosting the pieces,
and the results are coalesced by the client computer.
Clusters:It is a collection of tightly or loosely connected computers that work together so that they act as
a single entity. The connected computers execute operations all together thus creating the idea of a
single system. The clusters are generally connected through fast local area networks (LANs)
Classification of Cluster :
1. Open Cluster :
IPs are needed by every node and those are accessed only through the internet or web. This type of
cluster causes enhanced security concerns.
2. Close Cluster :
The nodes are hidden behind the gateway node, and they provide increased protection. They need fewer
IP addresses and are good for computational tasks.
A memory hierarchy consists of multiple levels of memory with different speeds and sizes. The faster
memories are more expensive per bit than the slower memories and thus are smaller.
A structure that uses multiple levels of memories; as the distance from the processor increases, the
size of the memories and the access time both increase.
Today, there are three primary technologies used in building memory hierarchies.
Main memory is implemented from DRAM (dynamic random access memory), while levels closer
to the processor (caches) use SRAM (static random access memory). The third technology, used to
implement the largest and slowest level in the hierarchy, is usually magnetic disk. (Flash memory is
used instead of disks in many embedded devices)
DRAM is less costly per bit than SRAM, although it is substantially slower. The price difference
arises because DRAM uses significantly less area per bit of memory, and DRAMs thus have larger
capacity.
Because of the differences in cost and access time, it is advantageous to build memory as a hierarchy
of levels. In fig 1 it shows the faster memory is close to the processor and the slower, less expensive
memory is below it.
The goal is to present the user with as much memory as is available in the cheapest technology, while
providing access at the speed offered by the fastest memory.
The data is similarly hierarchical: a level closer to the processor is generally a subset of any level
further away, and all the data is stored at the lowest level.
The upper level—the one closer to the processor—is smaller and faster than the lower level, since
the upper level uses technology that is more expensive.
The below fig shows that the minimum unit of information that can be either present or not present in
the two-level hierarchy is called a block or a line.
Fig 2 Every pair of levels in the memory hierarchy can be thought of as having an upper and lower
level. Transfer an entire block when we copy something between levels .
Hit: If the data requested by the processor appears in some block in the upper level,this is called a hit..
Miss: If the data is not found in the upper level, the request is called a miss.
The lower level in the hierarchy is then accessed to retrieve the block containing the requested data.
The hit rate, or hit ratio, is the fraction of memory accesses found in the upper level; it is often used
as a measure of the performance of the memory hierarchy.
The miss rate (1 hit rate) is the fraction of memory accesses not found in the upper level.
Since performance is the major reason for having a memory hierarchy, the time to service hits and misses
is important:
Hit time is the time to access the upper level of the memory hierarchy, which includes the time
needed to determine whether the access is a hit or a miss.
The miss penalty is the time to replace a block in the upper level with the corresponding block
from the lower level, plus the time to deliver this block to the processor.
Because the upper level is smaller and built using faster memory parts, the hit time
will be much smaller than the time to access the next level in the hierarchy, which is the
major component of the miss penalty.
Programs exhibit both temporal locality, the tendency to reuse recently accessed data items, and
spatial locality, the tendency to reference data items that are close to other recently accessed items.
Memory hierarchies take advantage of temporal locality by keeping more recently accessed data
items closer to the processor. Memory hierarchies take advantage of spatial locality by moving
blocks consisting of multiple contiguous words in memory to upper levels of the hierarchy.
Fig.3 This diagram shows the structure of a memory hierarchy: as the distance
from the processor increases, so does the size.
The above fig shows that a memory hierarchy uses smaller and faster memory technologies close
to the processor. Thus, accesses that hit in the highest level of the hierarchy can be processed
DRAM Technology
1. As early DRAMs grew in capacity, the cost of a package with all the necessary address lines was
an issue. The solution was to multiplex the address lines, thereby cutting the number of address
pins in half.
2. One-half of the address is sent first, called the row accessstrobe (RAS). The other half of the
address, sent during the column access strobe (CAS), follows it.
3. These names come from the internal chip organization, since the memory is organized as a
rectangular matrix addressed by rows and columns.
4. An additional requirement of DRAM derives from the property signified by its first letter, D, for
dynamic.
5. To pack more bits per chip, DRAMs use only a single transistor to store a bit. Reading that bit
destroys the information, so it must be restored. This is one reason the DRAM cycle time is much
longer than the access time.
6. In addition, to prevent loss of information when a bit is not read or written, the bit must be
1. To improve bandwidth, there has been a variety of evolutionary innovations over time.
2. The first was timing signals that allow repeated accesses to the row buffer without another row
access time, typically called fast page mode. Such a buffer comes naturally, as each array will
buffer 1024–2048 bits for each access. Conventional DRAMs had an asynchronous interface to the
memory controller, and hence every transfer involved overhead to synchronize with the controller.
3. The second major change was to add a clock signal to the DRAM interface, so that the repeated
transfers would not bear that overhead. Synchronous DRAM (SDRAM) is the name of this
optimization. SDRAMs typically also had a programmable register to hold the number of bytes
requested, and hence can send many bytes over several cycles per request.
4. The third major DRAM innovation to increase bandwidth is to transfer data on both the rising edge
and falling edge of the DRAM clock signal, thereby doubling the peak data rate. This optimization
is called double data rate (DDR).
Measuring and improving the performance of cache memory.
CACHE PERFORMANCE
Cache Performance can be improved by
Reducing the miss rate: larger block size, larger cache size, and higher associativity
Reducing the miss penalty: multilevel caches and giving reads priority over writes
Reducing the time to hit in the cache: avoiding address translation when indexing the cache
Average memory access time (AMAT) is a useful measure to evaluate the performance of a memory-
hierarchy configuration.
AMAT=Time for a hit +miss rate* miss penalty
Classifying Misses: 3 Cs
Compulsory
Cold start misses or first reference misses: The first access to a block can NOT be in the
cache, so there must be a compulsory miss.
These are suffered regardless of cache size.
Capacity
If the cache is too small to hold all of the blocks needed during execution of a program,
misses occur on blocks that were discarded earlier.
In other words, this is the difference between the compulsory miss rate and the miss rate of
a finite size fully associative cache.
Conflict
If the cache has sufficient space for the data, but the block can NOT be kept because the set
is full, a conflict miss will occur.
This is the difference between the miss rate of a non-fully associative cache and a fully-
St. Joseph’s College of Engineering Page 84 of 105
CS1304-Computer Architecture Department of CSE & IT
associative cache.
These misses are also called collision or interference misses.
Six Basic Cache Optimizations ( 6 ways to improve cache performance)
First Optimization: Larger Block Size to Reduce Miss Rate
Second Optimization: Larger Caches to Reduce Miss Rate
Third Optimization: Higher Associativity to Reduce Miss Rate
Fourth Optimization: Multilevel Caches to Reduce Miss Penalty
Fifth Optimization: Giving Priority to Read Misses over Writes to Reduce Miss Penalty
Sixth Optimization: Avoiding Address Translation during Indexing of the Cache to Reduce Hit Time
First Optimization: Larger Block Size to Reduce Miss Rate
The simplest way to reduce miss rate is to increase the block size. Larger block sizes will reduce also
compulsory misses. This reduction occurs because the principle of locality has two components: temporal
locality and spatial locality.
Larger blocks take advantage of spatial locality. At the same time, larger blocks increase the miss
penalty. Since they reduce the number of blocks in the cache, larger blocks may increase conflict
misses and even capacity misses if the cache is small.
Clearly, there is little reason to increase the block size to such a size that it increases the miss rate.
There is also no benefit to reducing miss rate if it increases the average memory access time.
Second Optimization: Larger Caches to Reduce Miss Rate
When a miss occurs, the cache controller must select a block to be replaced with the desired data. A
benefit of direct-mapped placement is that hardware decisions are simplified. Only one block frame is
checked for a hit, and only that block can be replaced. With fully associative or set-associative placement,
there are many blocks to choose from on a miss. There are three primary strategies employed for selecting
which block to replace:
Random:
To spread allocation uniformly, candidate blocks are randomly selected.
Some systems generate pseudorandom block numbers to get reproducible behavior, which is
particularly useful when debugging hardware.
Least-recently used(LRU):
To reduce the chance of throwing out information that will be needed soon, accesses to blocks are
recorded.
Relying on the past to predict the future, the block replaced is the one that has been unused for the
longest time.
LRU relies on a corollary of locality:
If recently used blocks are likely to be used again, then a good candidate for disposal is the least-
recently used block.
First in, first out (FIFO):
St. Joseph’s College of Engineering Page 85 of 105
CS1304-Computer Architecture Department of CSE & IT
Because LRU can be complicated to calculate, this approximates LRU by determining the oldest
block rather than the LRU.
A virtue of random replacement is that it is simple to build in hardware.
As the number of blocks to keep track of increases, LRU becomes increasingly expensive and is
frequently only approximated.
Third Optimization: Higher Associativity to Reduce Miss Rate
To show the benefit of associativity, conflict misses are divided into misses caused by each decrease in
associativity.
Here are the four divisions of conflict misses and how they are calculated:
Eight-way: Conflict misses due to going from fully associative (no conflicts) to eight-way associative
Four-way: Conflict misses due to going from eight-way associative to four way associative
Two-way: Conflict misses due to going from four-way associative to two way associative
One-way: Conflict misses due to going from two-way associative to one way associative (direct mapped)
Average memory access time = Hit timeL1 + Miss rateL1 × Miss penaltyL1 and
Miss penaltyL1 = Hit timeL2 + Miss rateL2 × Miss penaltyL2, so
Average memory access time = Hit timeL1 + Miss rateL1 × (Hit timeL2 + Miss rateL2 × Miss
penaltyL2)
In this formula, the second-level miss rate is measured on the leftovers from the first-level cache.
To avoid ambiguity, these terms are adopted here for a two-level cache system:
Local miss rate: This rate is simply the number of misses in a cache divided by the total number of
memory accesses to this cache.
Global miss rate: The number of misses in the cache divided by the total number of memory
accesses generated by the processor. Using the terms above, the global miss rate for the first-level
cache is still just Miss rate L1, but for the second-level cache it is Miss rate L1 × Miss rate L2.
Mapping Function
Direct Mapping
It is the simplest technique in which block j of the main memory maps onto block „j‟ modulo 128 of
the cache. Thus whenever one of the main memory blocks 0,128,256 is loaded in the cache,it is stored in
block 0. Block 1,129,257 are stored in cache block 1 and so on. The contention may arise when,
When the cache is full
When more than one memory block is mapped onto a given cache block position.
The contention is resolved by allowing the new blocks to overwrite the currently resident block.
Placement of block in the cache is determined from memory address. The memory address is divided into
3 fields. They are,
Low Order 4 bit field(word)->Selects one of 16 words in a block.
St. Joseph’s College of Engineering Page 87 of 105
CS1304-Computer Architecture Department of CSE & IT
7 bit cache block field->When new block enters cache,7 bit determines the cache position in
which this block must be stored.
5 bit Tag field->The high order 5 bits of the memory address of the block is stored in 5 tag
bits associated with its location in the cache.
As execution proceeds, the high order 5 bits of the address is compared with tag bits associated with
that cache location. If they match, then the desired word is in that block of the cache. If there is no match,
then the block containing the required word must be first read from the main memory and loaded into the
cache.
Merit:
It is easy to implement
Demerit:
It is not very flexible.
Associative Mapping:
In this method, the main memory block can be placed into any cache block position. 12 tag bits will
identify a memory block when it is resolved in the cache. The tag bits of an address received from the
processor are compared to the tag bits of each block of the cache to see if the desired block is persent.
This is called associative mapping. It gives complete freedom in choosing the cache location. A new
block that has to be brought into the cache has to replace(eject)an existing block if the cache is full. In
this method, the memory has to determine whether a given block is in the cache. A search of this kind is
called an associative Search.
Merit:
It is more flexible than direct mapping technique.
Demerit:
Its cost is high.
The cache which contains 1 block per set is called Direct Mapping. A cache that has „k‟ blocks
per set is called as „k-way set associative cache‟. Each block contains a control bit called a valid bit.
The Valid bit indicates that whether the block contains valid data. The dirty bit indicates that whether the
block has been modified during its cache residency. Valid bit=0->When power is initially applied to
system. Valid bit =1->When the block is loaded from main memory at first time. If the main memory
block is updated by a source & if the block in the source is already exists in the cache,then the valid bit
will be cleared to „0‟. If Processor & DMA uses the same copies of data then it is called as the Cache
Coherence Problem.
Merit:
The Contention problem of direct mapping is solved by having few choices for block placement.
The hardware cost is decreased by reducing the size of associative search
VIRTUAL MEMORY
Techniques that automatically move program and data blocks into the physical main memory when
they are required for execution is called the Virtual Memory.
The binary address that the processor issues either for instruction or data are called the virtual /
Logical address. The virtual address is translated into physical address by a combination of hardware
and software components. This kind of address translation is done by MMU(Memory Management
Unit).
When the desired data are in the main memory, these data are fetched /accessed immediately. If the
data are not in the main memory, the MMU causes the Operating system to bring the data into memory
from the disk. Transfer of data between disk and main memory is performed using DMA scheme.
When the operating system changes the contents of page table, the control bit in TLB will invalidate
the corresponding entry in the TLB. Given a virtual address, the MMU looks in TLB for the referenced
page. If the page table entry for this page is found in TLB, the physical address is obtained immediately.
If there is a miss in TLB, then the required entry is obtained from the page table in the main memory &
TLB is updated. When a program generates an access request to a page that is not in the main memory,
then Page Fault will occur. The whole page must be brought from disk into memry before an access can
proceed. When it detects a page fault, the MMU asks the operating system to generate an interrupt. The
operating System suspend the execution of the task that caused the page fault and begin execution of
another task whose pages are in main memory because the long delay occurs while page transfer takes
place. When the task resumes, either the interrupted instruction must continue from the point of
interruption or the instruction must be restarted. If a new page is brought from the disk when the main
memory is full, it must replace one of the resident pages. In that case, it uses LRU algorithm which
removes the least referenced Page. A modified page has to be written back to the disk before it is
removed from the main memory. In that case, write –through protocol is used.
A computer system
For example, if DATAIN is the address of a register in an input device, the instructio n
Load R2, DATAIN reads the data from the DATAIN register and loads them into processor
register R2. Similarly, the instruction
Store R2, DATAOUT sends the contents of register R2 to location DATAOUT, which is a
register in an output device.
Program-Controlled I/O:
Consider a task that reads characters typed on a keyboard, stores these data in the memory, and
displays the same characters on a display screen. A simple way of implementing this task is to write a
program that performs all functions needed to realize the desired action. This method is known as
program-controlled I/O. In addition to transferring each character from the keyboard into the memory,
and then to the display, it is necessary to ensure that this happens at the right time. An input character
must be read in response to a key being pressed. For output, a character must be sent to the display only
when the display device is able to accept it. The rate of data transfer from the keyboard to a computer is
limited by the typing speed of the user, which is unlikely to exceed a few characters per second. The rate
of output transfers from the computer to the display is much higher. It is determined by the rate at which
characters can be transmitted to and displayed on the display device The difference in speed between the
processor and I/O devices creates the need for mechanisms to synchronize the transfer of data between
them.
One solution to this problem involves a signaling protocol. KBD_DATA be the address label of an
8-bit register that holds the generated character. Also, let a signal indicating that a key has been pressed be
provided by setting to 1 a flip-flop called KIN, which is a part of an eight-bit status register,
KBD_STATUS. The processor can read the status flag KIN to determine when a character code has been
placed in KBD_DATA. When the processor reads the status flag to determine its state, we say that the
processor polls the I/O device. The display includes an 8-bit register, which we will call DISP_DATA, used
to receive characters from the processor. It also must be able to indicate that it is ready to receive the next
character, this can be done by using a status flag called DOUT, which is one bit in a status register,
DISP_STATUS.
INTERRUPTS
An interrupt is an event that causes the execution of one program to be suspended and the execution
of another program to begin. In program‐controlled I/O, when the processor continuously monitors the
status of the device, the processor will not perform any function. An alternate approach would be for the
I/O device to alert the processor when it becomes ready. The Interrupt request line will send a hardware
signal called the interrupt signal to the processor. On receiving this signal, the processor will perform the
useful function during the waiting period. The routine executed in response to an interrupt request is
called Interrupt Service Routine. The interrupt resembles the subroutine calls.
The processor first completes the execution of instruction i. Then it loads the PC (Program Counter)
with the address of the first instruction of the ISR. After the execution of ISR, the processor has to come
back to instruction i + 1. Therefore, when an interrupt occurs, the current contents of PC which point to i
+1 is put in temporary storage in a known location. A return from interrupt instruction at the end of ISR
reloads the PC from that temporary storage location, causing the execution to resume at instruction i+1.
When the processor is handling the interrupts, it must inform the device that its request has been
recognized so that it removes its interrupt request signal. This may be accomplished by a special control
signal called the interrupt acknowledge signal. The task of saving and restoring the information can be
done automatically by the processor. The processor saves only the contents of program counter & status
register (ie) it saves only the minimal amount of information to maintain the integrity of the program
execution. Saving registers also increases the delay between the time an interrupt request is received and
the start of the execution of the ISR. This delay is called the Interrupt Latency. Generally, the long
interrupt latency in unacceptable. The concept of interrupts is used in Operating System and in Control
St. Joseph’s College of Engineering Page 93 of 105
CS1304-Computer Architecture Department of CSE & IT
Applications, where processing of certain routines must be accurately timed relative to external events.
This application is also called as real-time processing.
The processor first completes the execution of instruction i. Then it loads the PC (Program Counter)
with the address of the first instruction of the ISR. After the execution of ISR, the processor has to come
back to instruction i + 1. Therefore, when an interrupt occurs, the current contents of PC which point to i
+1 is put in temporary storage in a known location. A return from interrupt instruction at the end of ISR
reloads the PC from that temporary storage location, causing the execution to resume at instruction i+1.
When the processor is handling the interrupts, it must inform the device that its request has been
recognized so that it removes its interrupt request signal. This may be accomplished by a special control
signal called the interrupt acknowledge signal. The task of saving and restoring the information can be
done automatically by the processor. The processor saves only the contents of program counter & status
register (ie) it saves only the minimal amount of information to maintain the integrity of the program
execution. Saving registers also increases the delay between the time an interrupt request is received and
the start of the execution of the ISR. This delay is called the Interrupt Latency. Generally, the long
interrupt latency in unacceptable. The concept of interrupts is used in Operating System and in Control
Applications, where processing of certain routines must be accurately timed relative to external events.
This application is also called as real-time processing.
Interrupt Hardware
Fig: An equivalent circuit for an open drain bus used to implement a common interrupt
request line.
A single interrupt request line may be used to serve n devices. All devices are connected to the line
via switches to ground. To request an interrupt, a device closes its associated switch, the voltage on INTR
line drops to 0(zero). If all the interrupt request signals (INTR1 to INTRn) are inactive, all switches are
open and the voltage on INTR line is equal to Vdd. When a device requests an interrupts, the value of
INTR is the logical OR of the requests from individual devices.
(ie)INTR = INTR1+…………+INTRn
INTR->It is used to name the INTR signal on common line it is active in the low voltage state.
Open collector (bipolar ckt) or Open drain (MOS circuits) is used to drive INTR line. The Output of the
Open collector (or) Open drain control is equal to a switch to the ground that is open when gates input is
Privileged Exception
User program cannot accidently or intentionally change the priority of the processor & disrupts the
system operation. An attempt to execute a privileged instruction while in user mode, leads to a special
type of interrupt called the privileged exception.
Fig: Implementation of Interrupt Priority using individual Interrupt request acknowledge
lines
Each of the interrupt request line is assigned a different priority level. Interrupt request received
over these lines are sent to a priority arbitration circuit in the processor. A request is accepted only if it has
a higher priority level than that currently assigned to the processor.
Simultaneous Requests: Daisy Chain
The interrupt request line INTR is common to all devices. The interrupt acknowledge line INTA is
connected in a daisy chain fashion such that INTA signal propagates serially through the devices.
When several devices raise an interrupt request, the INTR is activated & the processor responds by
setting INTA line to 1. this signal is received by device. Device1 passes the signal on to device2 only if it
does not require any service. If devices1 has a pending request for interrupt blocks that INTA signal &
proceeds to put its identification code on the data lines. Therefore, the device that is electrically closest to
the processor has the highest priority.
Merits
It requires fewer wires than the individual connections.
Arrangement of Priority Groups
Here the devices are organized in groups & each group is connected at a different priority level.
Within a group, devices are connected in a daisy chain. At the devices end, an interrupt enable bit in a
control register determines whether the device is allowed to generate an interrupt requests. At the
processor end, either an interrupt enable bit in the PS (Processor Status) or a priority structure determines
whether a given interrupt requests will be accepted.
Initiating the Interrupt Process
Load the starting address of ISR in location INTVEC (vectored interrupt). Load the address LINE
in a memory location PNTR. The ISR will use this location as a pointer to store the i/p characters in the
memory. Enable the keyboard interrupts by setting bit 2 in register CONTROL to 1. Enable interrupts in
the processor by setting to 1, the IE bit in the processor status register PS.
Exception of ISR
St. Joseph’s College of Engineering Page 96 of 105
CS1304-Computer Architecture Department of CSE & IT
Read the input characters from the keyboard input data register. This will cause the interface
circuits to remove its interrupt requests. Store the characters in a memory location pointed to by PNTR
& increment PNTR. When the end of line is reached, disable keyboard interrupt & inform program main.
Return from interrupt.
DIRECT MEMORY ACCESS
A special control unit may be provided to allow the transfer of large block of data at high speed
directly between the external device and main memory, without continuous intervention by the processor.
This approach is called DMA. DMA transfers are performed by a control circuit called the DMA
Controller.
The device that recognizes this address responds to the commands issued on the
control lines.
The processor uses the control lines to request either a Read or a Write operation, and
the requested data are transferred over the data lines.
SYNCHRONOUS BUS:
On a synchronous bus, all devices derive timing information from a control line called the bus clock
The signal on this line has two phases: a high level followed by a low level. The two phases constitute a
clock cycle. The first half of the cycle between the low-to-high and high-to-low transitions is often
referred to as a clock pulse.
During clock cycle 1, the master sends address and command information on the bus, requesting a
Read operation. The slave receives this information and decodes it. It begins to access the requested data
on the active edge of the clock at the beginning of clock cycle 2. The data become ready and are placed
on the bus during clock cycle 3. The slave asserts a control signal called Slave-ready at the same time.
The master, which has been waiting for this signal, loads the data into its register at the end of the clock
cycle. The slave removes its data signals from the bus and returns its Slave-ready signal to the low level at
the end of cycle 3. The bus transfer operation is now complete, and the master may send new address and
command signals to start a new transfer in clock cycle 4.
t0—The master places the address and command information on the bus, and all devices on the bus
decode this information.
t1—The master sets the Master-ready line to 1 to inform the devices that the address and command
information is ready. The delay t1 − t0 is intended to allow for any skew that may occur on the bus.
Skew occurs when two signals transmitted simultaneously from one source arrive at the destination at
different times.
t2—The selected slave, having decoded the address and command information, performs the required
input operation by placing its data on the data lines. At the same time, it sets the Slave-ready signal to
1.
t3—The Slave-ready signal arrives at the master, indicating that the input data are available on the bus.
t4—The master removes the address and command information from the bus.
t5—When the device interface receives the 1-to-0 transition of the Master-ready signal, it removes the
data and the Slave-ready signal from the bus. This completes the input transfer.
Each device on the bus is assigned a 4 bit id. When one or more devices request the bus, they
assert the Start-Arbitration signal & place their 4 bit ID number on four open collector lines, ARB0 to
ARB3. A winner is selected as a result of the interaction among the signals transmitted over these lines.
The net outcome is that the code on the four lines represents the request that has the highest ID number.
The drivers are of open collector type. Hence, if the i/p to one driver is equal to 1, the i/p to another driver
connected to the same bus line is equal to 0 (ie) bus the is in low-voltage state Eg: Assume two devices A
& B have their ID 5 (0101), 6(0110) and their code is 0111.
Each device compares the pattern on the arbitration line to its own ID starting from MSB.
If it detects a difference at any bit position, it disables the drivers at that bit position. It does this by
placing „0‟ at the i/p of these drivers. In our eg., A detects a difference in line ARB1, hence it disables
St. Joseph’s College of Engineering Page 102 of
105
CS1304-Computer Architecture Department of CSE & IT
the drivers on lines ARB1 & ARB0. This causes the pattern on the arbitration line to change to 0110
which means that B has won the contention.
INTERFACE CIRCUITS
The I/O interface of a device consists of the circuitry needed to connect that device to the bus. On one
side of the interface are the bus lines for address, data, and control. On the other side are the connections
needed to transfer data between the interface and the I/O device. This side is called a port, and it can be
either a parallel or a serial port. A parallel port transfers multiple bits of data simultaneously to or from
the device. A serial port sends and receives data one bit at a time. An I/O interface does the following:
1. Provides a register for temporary storage of data
2. Includes a status register containing status information that can be accessed by the processor
3. Includes a control register that holds the information governing the behavior of the interface
4. Contains address-decoding circuitry to determine when it is being addressed by the processor
5. Generates the required timing signals
6. Performs any format conversion that may be necessary to transfer data between the processor
and the I/O device, such as parallel-to-serial conversion in the case of a serial port
PARALLEL INTERFACE
An interface circuit for an 8-bit input port that can be used for connecting a simple input device,
such as a keyboard. An interface circuit for an 8-bit output port, which can be used with an output device
such as a display. Interface circuits are connected to a 32-bit processor that uses memory-mapped I/O and
the asynchronous bus protocol.
Input Interface
There are only two registers: a data register, KBD_DATA, and a status register, KBD_STATUS.
The latter contains the keyboard status flag, KIN. A typical keyboard consists of mechanical switches that
are normally open. When a key is pressed, its switch closes and establishes a path for an electrical signal.
A difficulty with such mechanical pushbutton switches is that the contacts bounce when a key is pressed,
resulting in the electrical connection being made then broken several times before the switch settles in the
closed position. The software detects that a key has been pressed when it observes that the keyboard status
flag, KIN, has been set to 1. The I/O routine can then introduce sufficient delay before reading the
contents of the input buffer, KBD_DATA, to ensure that bouncing has subsided. When debouncing is
implemented in hardware, the I/O routine can read the input character as soon as it detects that KIN is
equal to 1. It consists of one byte of data representing the encoded character and one control signal called
Valid. When a key is pressed, the Valid signal changes from 0 to 1. The status flag is cleared to 0 when
the processor reads the contents of the KBD_DATA register. The interface circuit is connected to an
asynchronous bus on which transfers are controlled by the handshake signals Master-ready and Slave-
ready. The bus has one other control line, R/W, which indicates a Read operation when equal to 1.
Output Interface
It can be used to connect an output device such as a display. The display uses two handshake signals,
New-data and Ready, in a manner similar to the handshake between the bus signals Master-ready and
Slave-ready. When the display is ready to accept a character, it asserts its Ready signal, which causes the
DOUT flag in the DISP_STATUS register to be set to 1. When the I/O routine checks DOUT and finds it
equal to 1, it sends a character to DISP_DATA. This clears the DOUT flag to 0 and sets the New-data
signal to 1. In response, the display returns Ready to 0 and accepts and displays the character in
DISP_DATA
SERIAL INTERFACE
A serial interface is used to connect the processor to I/O devices that transmit data one bit at a time.
Data are transferred in a bit-serial fashion on the device side and in a bit-parallel fashion on the processor
St. Joseph’s College of Engineering Page 103 of
105
CS1304-Computer Architecture Department of CSE & IT
side. The transformation between the parallel and serial formats is achieved with shift registers that have
parallel access capability. The input shift register accepts bit-serial input from the I/O device. When all 8
bits of data have been received, the contents of this shift register are loaded in parallel into the DATAIN
register. Output data in the DATAOUT register are transferred to the output shift register, from which the
bits are shifted out and sent to the I/O device. The part of the interface that deals with the bus is the same
as in the parallel interface described earlier. Two status flags, which we will refer to as SIN and SOUT,
are maintained by the Status and control block. The SIN flag is set to 1 when new data are loaded into
DATAIN from the shift register, and cleared to 0 when these data are read by the processor. The SOUT
flag indicates whether the DATAOUT register is available. It is cleared to 0 when the processor writes
new data into DATAOUT and set to 1 when data are transferred from DATAOUT to the output shift
register. The double buffering used in the input and output paths in Figure 7.15 is important. It is possible
to implement DATAIN and DATAOUT themselves as shift registers, thus obviating the need for separate
shift registers
USB
The Universal Serial Bus (USB) is the most widely used interconnection standard. A large
variety of devices are available with a USB connector, including mice, memory keys, disk drives, printers,
and cameras. The commercial success of the USB is due to its simplicity and low cost. The original USB
specification supports two speeds of operation, called low-speed (1.5 Megabits/s) and full-speed (12
Megabits/s). It supports data transfer rates up to 5 Gigabits/s.
DEVICE CHARACTERISTICS:
The kinds of devices that may be connected to a computer cover a wide range of functionality. The
speed, volume, and timing constraints associated with data transfers to and from these devices vary
significantly. One byte of data is generated every time a key is pressed, which may happen at any time.
These data should be transferred to the computer promptly. The event of pressing a key is not
synchronized to any other event in a computer system, the data generated by the keyboard are called
asynchronous. The sampling process yields a continuous stream of digitized samples that arrive at regular
intervals, synchronized with the sampling clock. Such a data stream is called isochronous, meaning that
successive events are separated by equal periods of time. A signal must be sampled quickly enough to
track its highest- frequency components.
Plug-and-Play
When an I/O device is connected to a computer, the operating system needs some information about
it. It needs to know what type of device it is so that it can use the appropriate device driver.
It also needs to know the addresses of the registers in the device’s interface to be able to communicate
with it. The USB standard defines both the USB hardware and the software that communicates with it. Its
plug-and-play feature means that when a new device is connected, the system detects its existence
automatically.
USB Architecture
The USB uses point-to-point connections and a serial transmission format. When multiple devices
St. Joseph’s College of Engineering Page 104 of
105
CS1304-Computer Architecture Department of CSE & IT
are connected, they are arranged in a tree structure. Each node of the tree has a device called a hub, which
acts as an intermediate transfer point between the host computer and the I/O devices. At the root of the
tree, a root hub connects the entire tree to the host computer. The leaves of the tree are the I/O devices: a
mouse, a keyboard, a printer, an Internet connection, a camera, or a speaker. The tree structure makes it
possible to connect many devices using simple point-to-point serial links.
The USB uses point-to-point connections and a serial transmission format. When multiple devices
are connected, they are arranged in a tree structure. Each node of the tree has a device called a hub, which
acts as an intermediate transfer point between the host computer and the I/O devices. At the root of the
tree, a root hub connects the entire tree to the host computer. The leaves of the tree are the I/O devices: a
mouse, a keyboard, a printer, an Internet connection, a camera, or a speaker. The tree structure makes it
possible to connect many devices using simple point-to-point serial links.
Electrical Characteristics
USB connections consist of four wires, of which two carry power, +5 V and Ground, and two carry
data. I/O devices that do not have large power requirements can be powered directly from the USB. Two
methods are used to send data over a USB cable. When sending data at low speed, a high voltage relative
to Ground is transmitted on one of the two data wires to represent a 0 and on the other to represent a 1.
The Ground wire carries the return current in both cases. Such a scheme in which a signal is injected on a
wire relative to ground is referred to as single-ended transmission. The speed at which data can be sent on
any cable is limited by the amount of electrical noise present. The term noise refers to any signal that
interferes with the desired data signal and hence could cause errors. Single-ended transmission is highly
susceptible to noise. The voltage on the ground wire is common to all the devices connected to the
computer. Signals sent by one device can cause small variations in the voltage on the ground wire, and
hence can interfere with signals sent by another device. Interference can also be caused by one wire
picking up noise from nearby wires. The High-Speed USB uses an alternative arrangement known as
differential signaling. The data signal is injected between two data wires twisted together.