Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/968878.968974acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
Article

Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design

Published: 16 February 2004 Publication History

Abstract

We present a sensitivity based algorithm for total power including dynamic and subthreshold leakage power minimization using simultaneous sizing, Vdd and Vth assignment. The proposed algorithm is implemented and tested on a set of combinational benchmark circuits. Acomparison with traditional CVS based algorithms demonstrates the advantage of the algorithm including an average power reduction of 37% at primary input activities of 0.1. We also investigate the impact of various low Vdd values on total power savings.

References

[1]
{1} K. Usami, et al., IEEE JSSC, pp. 1772-1780, March 1998.
[2]
{2} M. Hamada, et al., Proc. CICC, pp. 89-92, 2001.
[3]
{3} A. Srivastava, et al., Proc. ASPDAC, pp. 400-403, 2003.
[4]
{4} K. Usami, et al., Proc. ISLPED, pp. 3-8, 1995.
[5]
{5} S. Sirichotiyakul, et al., Proc. DAC, pp. 436-441, 1999.
[6]
{6} F. Brglez, et al., Proc. ISCAS, pp. 695-698, 1985.
[7]
{7} J. Fishburn, et al., Proc. ICCAD, pp. 326-328, 1985.
[8]
{8} R. Krishnamurthy, et al., Proc. CICC, pp. 125-128, 2002.

Cited By

View all
  • (2010)Dual-Vth leakage reduction with fast clock skew scheduling enhancementProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1871049(520-525)Online publication date: 8-Mar-2010
  • (2009)Design and synthesis of Pareto buffers offering large range runtime energy/delay tradeoffs via combined buffer size and supply voltage tuningIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2008.200316917:1(117-127)Online publication date: 1-Jan-2009
  • (2008)A parallel and randomized algorithm for large-scale discrete dual-Vt assignment and continuous gate sizingProceedings of the 2008 international symposium on Low Power Electronics & Design10.1145/1393921.1393937(45-50)Online publication date: 11-Aug-2008
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '04: Proceedings of the conference on Design, automation and test in Europe - Volume 1
February 2004
688 pages
ISBN:0769520855

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 16 February 2004

Check for updates

Qualifiers

  • Article

Conference

DATE04
Sponsor:

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Upcoming Conference

DATE '25
Design, Automation and Test in Europe
March 31 - April 2, 2025
Lyon , France

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 22 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2010)Dual-Vth leakage reduction with fast clock skew scheduling enhancementProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1871049(520-525)Online publication date: 8-Mar-2010
  • (2009)Design and synthesis of Pareto buffers offering large range runtime energy/delay tradeoffs via combined buffer size and supply voltage tuningIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2008.200316917:1(117-127)Online publication date: 1-Jan-2009
  • (2008)A parallel and randomized algorithm for large-scale discrete dual-Vt assignment and continuous gate sizingProceedings of the 2008 international symposium on Low Power Electronics & Design10.1145/1393921.1393937(45-50)Online publication date: 11-Aug-2008
  • (2006)Yield prediction for architecture exploration in nanometer technology nodes:Proceedings of the 4th international conference on Hardware/software codesign and system synthesis10.1145/1176254.1176315(253-258)Online publication date: 22-Oct-2006
  • (2005)TACOProceedings of the 2005 IEEE/ACM International conference on Computer-aided design10.5555/1129601.1129683(582-587)Online publication date: 31-May-2005
  • (2005)Fast and effective gate-sizing with multiple-Vt assignment using generalized Lagrangian RelaxationProceedings of the 2005 Asia and South Pacific Design Automation Conference10.1145/1120725.1120881(381-386)Online publication date: 18-Jan-2005
  • (2005)Linear programming for sizing, Vth and Vdd assignmentProceedings of the 2005 international symposium on Low power electronics and design10.1145/1077603.1077642(149-154)Online publication date: 8-Aug-2005
  • (2005)Total power reduction in CMOS circuits via gate sizing and multiple threshold voltagesProceedings of the 42nd annual Design Automation Conference10.1145/1065579.1065593(31-36)Online publication date: 13-Jun-2005
  • (2004)Total power optimization through simultaneously multiple-vDD multiple-vTH assignment and device sizing with stack forcingProceedings of the 2004 international symposium on Low power electronics and design10.1145/1013235.1013276(144-149)Online publication date: 9-Aug-2004

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media