Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Thermal-aware memory mapping in 3D designs

Published: 05 September 2013 Publication History

Abstract

DRAM is usually used as main memory for program execution. The thermal behavior of a memory block in a 3D SIP is affected not only by the power behavior but also the heat dissipating ability of that block. The power behavior of a block is related to the applications run on the system, while the heat dissipating ability is determined by the number of tier and the position the block locates. Therefore, a thermal-aware memory allocator should consider the following two points. First, the allocator should consider not only the power behavior of a logic block but also the physical location during memory mapping and second, the changing temperature of a physical block during execution of programs. In this article, we will propose a memory mapping algorithm taking into consideration these two points. Our technique can be classified as static thermal management to be applied to embedded software designs. Experiments show that for single-core systems, our method can reduce the temperature of memory system by 17.1°C, as compared to a straightforward mapping in the best case, and 13.3°C on average. For systems with four cores, the temperature reductions are 9.9°C and 11.6°C on average when L1 cache of each core is set to 4KB and 8KB, respectively.

References

[1]
Brooks, D. and Martonosi, M. 2001. Dynamic thermal management for high-performance microprocessors. In Proceedings of the International Symposium on High-Performance Computer Architecture. 171--182.
[2]
Burger, D. C., Austin, T. M., and Bennett, S. 1997. Evaluating future microprocessors—the simplescalar tool set. Tech. rep. University of Wisconsin-Madison, CS Department 1342, Madison, WI.
[3]
Huangry, W., Sankaranarayanany, K., Ribandoz, R. J., Stan, M. R., and Skadron, K. 2005. An improved block-based thermal model in hotspot 4.0 with granularity consideration. In Proceedings of the Workshop on Duplicating, Deconstructing, and Debunking.
[4]
HYNIX. 2003. Hy5du12822d(l)tp-xi/hy5du121622d(l)tp-xi 512Mb DDR SDRAM technical data sheet. http://www.hynix.com/.
[5]
JM H.264/AVC CODEC. 2008. Jm h.264/avc codec 14.1. http://iphome.hhi.de/suehring/tml/.
[6]
Kim, Y. I., Yang, K. H., and Lee, W. S. 2004. Thermal degradation of dram retention time: Characterization and improving techniques. In Proceedings of the International Reliability Physics Symposium. 667--668.
[7]
Lee, C., Potkonjak, M., and Mangione-Smith, W. H. 1997. Mediabench: A tool for evaluating and synthesizing multimedia and communications systems. In Proceedings of the International Symposium on Microarchitecture. 330--335.
[8]
Li, Y., Brooks, D., Hu, Z., and Skadron, K. 2005. Performance, energy, and thermal considerations for smt and cmp architectures. In Proceedings of the International Symposium on High-Performance Computer Architecture. 71--82.
[9]
Low, Y. L., Frye, R., and Conner, K. J. O. 1998. Design methodology for chip-on-chip applications. IEEE Trans. Components, Pack. Manufac. Techno. 21, 3, 298--301.
[10]
Malik, A., Moyer, B., and Cermak, D. 2000. A lower power unified cache architecture providing power and performance flexibility. In Proceedings of the International Symposium on Low Power Electronics and Designs. 241--243.
[11]
Mutyam, M., Li, F., Narayanan, V., Kandemir, M., and Irwin, M. J. 2006. Compiler-directed thermal management for vliw functional units. In Proceedings of the Conference on Languages, Compilers, and Tools for Embedded Systems. 163--172.
[12]
Pancescu, A. 2007. SOFTPEDIA hynix storms the nand industry-24 nand memory chips only 1.4mm thick. http://news.softpedia.com/news/Hynix-Storms-The-NAND-Industry-64930.shtml.
[13]
Puttaswamy, K. and Loh, G. H. 2006. Thermal analysis of a 3D die-stacked high-performance microprocessor. In Proceedings of the Great Lakes Symposium on VLSI. 19--24.
[14]
Sankaranarayanan, K., Velusamy, S., Stan, M., and Skadron, K. 2005. A case for thermal-aware floorplanning at the microarchitectural level. J. Instruction-Level Parall. 7.
[15]
Skadron, K., Abdelzaher, T., and Stan, M. R. 2002. Control theoretic techniques and thermal-rc modeling for accurate and localized dynamic thermal management. In Proceedings of the International Symposium on High-Performance Computer Architecture. 17--28.
[16]
Tai, K. L. 2000. System-in-package (sip): Challenges and opportunities. In Proceedings of the Asia and South Pacific Design Automation Conference. 191--196.
[17]
Tai, K. L., Frye, R. C., Han, B. J., Lau, M. Y., and Kossives, D. 1995. A chip-on-chip DSP/SRAM multichip module. In Proceedings of the International Conference on Multichip Modules. 466--471.
[18]
Tsai, Y.-F., Xie, Y., Vijaykrishnan, N., and Irwin, M. J. 2005. Three-dimensional cache design exploration using 3dcacti. In Proceedings of the International Conference on Computer Design. 519--524.
[19]
Wang, M., Suzuki, K., and Dai, W. 2001a. Memory and logic integration for system-in-a-package. In Proceedings of the 4th International Conference on ASIC. 843--847.
[20]
Wang, M., Suzuki, K., Dai, W., Sakai, A., and Watanabe, K. 2001b. Configurable area-io memory for system-in-a-package (sip). In Proceedings of the 27th European Solid-State Circuits Conference. 385--388.
[21]
Wang, M. X., Suzuki, K., Dai, W., Low, Y. L., Conner, K. J. O., and Tai, K. L. 2000. Integration of large-scale FPGA and DRAM in a package using chip-on-chip technology. In Proceedings of the Asia and South Pacific Design Automation Conference. 205--210.

Cited By

View all
  • (2024)NeuroTAP: Thermal and Memory Access Pattern-Aware Data Mapping on 3D DRAM for Maximizing DNN PerformanceACM Transactions on Embedded Computing Systems10.1145/367717823:6(1-30)Online publication date: 11-Sep-2024
  • (2024)3D-TemPo: Optimizing 3-D DRAM Performance Under Temperature and Power ConstraintsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.336723543:8(2263-2276)Online publication date: 1-Aug-2024
  • (2023)Thermal Management for 3D-Stacked Systems via Unified Core-Memory Power RegulationACM Transactions on Embedded Computing Systems10.1145/360804022:5s(1-26)Online publication date: 31-Oct-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Embedded Computing Systems
ACM Transactions on Embedded Computing Systems  Volume 13, Issue 1
August 2013
332 pages
ISSN:1539-9087
EISSN:1558-3465
DOI:10.1145/2501626
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 05 September 2013
Accepted: 01 December 2011
Revised: 01 September 2011
Received: 01 February 2010
Published in TECS Volume 13, Issue 1

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. System in package (SIP)
  2. memory mapping
  3. thermal management

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)19
  • Downloads (Last 6 weeks)2
Reflects downloads up to 16 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)NeuroTAP: Thermal and Memory Access Pattern-Aware Data Mapping on 3D DRAM for Maximizing DNN PerformanceACM Transactions on Embedded Computing Systems10.1145/367717823:6(1-30)Online publication date: 11-Sep-2024
  • (2024)3D-TemPo: Optimizing 3-D DRAM Performance Under Temperature and Power ConstraintsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.336723543:8(2263-2276)Online publication date: 1-Aug-2024
  • (2023)Thermal Management for 3D-Stacked Systems via Unified Core-Memory Power RegulationACM Transactions on Embedded Computing Systems10.1145/360804022:5s(1-26)Online publication date: 31-Oct-2023
  • (2022)NeuroMap: Efficient Task Mapping of Deep Neural Networks for Dynamic Thermal Management in High-Bandwidth MemoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319769841:11(3602-3613)Online publication date: 1-Nov-2022
  • (2018)Thermal-aware task and data co-allocation for multi-processor system-on-chips with 3D-stacked memoriesProceedings of the 2018 Conference on Research in Adaptive and Convergent Systems10.1145/3264746.3264771(243-248)Online publication date: 9-Oct-2018
  • (2016)Thermal-aware dynamic page allocation policy by future access patterns for hybrid memory cube (HMC)Proceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972061(1084-1089)Online publication date: 14-Mar-2016
  • (2014)Scenario-aware data placement and memory area allocation for multi-processor system-on-chips with reconfigurable 3D-stacked SRAMsProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2617069(1-6)Online publication date: 24-Mar-2014

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media