Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

Showing 1–50 of 54 results for author: Kim, J S

Searching in archive cs. Search in all archives.
.
  1. arXiv:2406.19135  [pdf, other

    eess.AS cs.AI

    DEX-TTS: Diffusion-based EXpressive Text-to-Speech with Style Modeling on Time Variability

    Authors: Hyun Joon Park, Jin Sob Kim, Wooseok Shin, Sung Won Han

    Abstract: Expressive Text-to-Speech (TTS) using reference speech has been studied extensively to synthesize natural speech, but there are limitations to obtaining well-represented styles and improving model generalization ability. In this study, we present Diffusion-based EXpressive TTS (DEX-TTS), an acoustic model designed for reference-based speech synthesis with enhanced style representations. Based on a… ▽ More

    Submitted 27 June, 2024; originally announced June 2024.

    Comments: Preprint

  2. arXiv:2405.20610  [pdf, other

    cs.CV

    Revisiting and Maximizing Temporal Knowledge in Semi-supervised Semantic Segmentation

    Authors: Wooseok Shin, Hyun Joon Park, Jin Sob Kim, Sung Won Han

    Abstract: In semi-supervised semantic segmentation, the Mean Teacher- and co-training-based approaches are employed to mitigate confirmation bias and coupling problems. However, despite their high performance, these approaches frequently involve complex training pipelines and a substantial computational burden, limiting the scalability and compatibility of these methods. In this paper, we propose a PrevMatc… ▽ More

    Submitted 30 May, 2024; originally announced May 2024.

    Comments: 14 pages, 5 figures, submitted to IEEE TPAMI. This work has been submitted to the IEEE for possible publication. Copyright may be transferred without notice, after which this version may no longer be accessible

  3. arXiv:2311.15876  [pdf, other

    cs.CV cs.AI cs.LG

    End-to-End Breast Cancer Radiotherapy Planning via LMMs with Consistency Embedding

    Authors: Kwanyoung Kim, Yujin Oh, Sangjoon Park, Hwa Kyung Byun, Joongyo Lee, Jin Sung Kim, Yong Bae Kim, Jong Chul Ye

    Abstract: Recent advances in AI foundation models have significant potential for lightening the clinical workload by mimicking the comprehensive and multi-faceted approaches used by medical professionals. In the field of radiation oncology, the integration of multiple modalities holds great importance, so the opportunity of foundational model is abundant. Inspired by this, here we present RO-LMM, a multi-pu… ▽ More

    Submitted 1 July, 2024; v1 submitted 27 November, 2023; originally announced November 2023.

    Comments: 10 pages, 4 figures, 11 tables

  4. arXiv:2311.14678  [pdf

    cs.CY

    Data-driven recommendations for enhancing real-time natural hazard warnings, communication, and response

    Authors: Kate R. Saunders, Owen Forbes, Jess K. Hopf, Charlotte R. Patterson, Sarah A. Vollert, Kaitlyn Brown, Raiha Browning, Miguel Canizares, Richard S. Cottrell, Lanxi Li, Catherine J. S. Kim, Tace P. Stewart, Connie Susilawati, Xiang Y. Zhao, Kate J. Helmstedt

    Abstract: The effectiveness and adequacy of natural hazard warnings hinges on the availability of data and its transformation into actionable knowledge for the public. Real-time warning communication and emergency response therefore need to be evaluated from a data science perspective. However, there are currently gaps between established data science best practices and their application in supporting natur… ▽ More

    Submitted 31 October, 2023; originally announced November 2023.

  5. arXiv:2311.01908  [pdf, other

    eess.IV cs.CV

    LLM-driven Multimodal Target Volume Contouring in Radiation Oncology

    Authors: Yujin Oh, Sangjoon Park, Hwa Kyung Byun, Yeona Cho, Ik Jae Lee, Jin Sung Kim, Jong Chul Ye

    Abstract: Target volume contouring for radiation therapy is considered significantly more challenging than the normal organ segmentation tasks as it necessitates the utilization of both image and text-based clinical information. Inspired by the recent advancement of large language models (LLMs) that can facilitate the integration of the textural information and images, here we present a novel LLM-driven mul… ▽ More

    Submitted 15 April, 2024; v1 submitted 3 November, 2023; originally announced November 2023.

  6. arXiv:2309.04407  [pdf, other

    physics.med-ph cs.RO

    Optical Fiber-Based Needle Shape Sensing in Real Tissue: Single Core vs. Multicore Approaches

    Authors: Dimitri A. Lezcano, Yernar Zhetpissov, Alexandra Cheng, Jin Seob Kim, Iulian I. Iordachita

    Abstract: Flexible needle insertion procedures are common for minimally-invasive surgeries for diagnosing and treating prostate cancer. Bevel-tip needles provide physicians the capability to steer the needle during long insertions to avoid vital anatomical structures in the patient and reduce post-operative patient discomfort. To provide needle placement feedback to the physician, sensors are embedded into… ▽ More

    Submitted 8 September, 2023; originally announced September 2023.

  7. arXiv:2308.05992  [pdf, other

    cs.RO eess.SY

    Reachable Set-based Path Planning for Automated Vertical Parking System

    Authors: In Hyuk Oh, Ju Won Seo, Jin Sung Kim, Chung Choo Chung

    Abstract: This paper proposes a local path planning method with a reachable set for Automated vertical Parking Systems (APS). First, given a parking lot layout with a goal position, we define an intermediate pose for the APS to accomplish reverse parking with a single maneuver, i.e., without changing the gear shift. Then, we introduce a reachable set which is a set of points consisting of the grid points of… ▽ More

    Submitted 11 August, 2023; originally announced August 2023.

    Comments: 8 pages, 10 figures, conference. This is the Accepted Manuscript version of an article accepted for publication in [IEEE International Conference on Intelligent Transportation Systems ITSC 2023]. IOP Publishing Ltd is not responsible for any errors or omissions in this version of the manuscript or any version derived from it. No information about DOI has been posted yet

  8. arXiv:2303.09057  [pdf, other

    eess.AS cs.SD

    TriAAN-VC: Triple Adaptive Attention Normalization for Any-to-Any Voice Conversion

    Authors: Hyun Joon Park, Seok Woo Yang, Jin Sob Kim, Wooseok Shin, Sung Won Han

    Abstract: Voice Conversion (VC) must be achieved while maintaining the content of the source speech and representing the characteristics of the target speaker. The existing methods do not simultaneously satisfy the above two aspects of VC, and their conversion outputs suffer from a trade-off problem between maintaining source contents and target characteristics. In this study, we propose Triple Adaptive Att… ▽ More

    Submitted 15 March, 2023; originally announced March 2023.

    Comments: To appear in ICASSP 2023

  9. arXiv:2302.08450  [pdf, other

    cs.LG cs.HC

    Assisting Human Decisions in Document Matching

    Authors: Joon Sik Kim, Valerie Chen, Danish Pruthi, Nihar B. Shah, Ameet Talwalkar

    Abstract: Many practical applications, ranging from paper-reviewer assignment in peer review to job-applicant matching for hiring, require human decision makers to identify relevant matches by combining their expertise with predictions from machine learning models. In many such model-assisted document matching tasks, the decision makers have stressed the need for assistive information about the model output… ▽ More

    Submitted 16 February, 2023; originally announced February 2023.

  10. arXiv:2210.08508  [pdf, other

    cs.AR cs.DC

    RevaMp3D: Architecting the Processor Core and Cache Hierarchy for Systems with Monolithically-Integrated Logic and Memory

    Authors: Nika Mansouri Ghiasi, Mohammad Sadrosadati, Geraldo F. Oliveira, Konstantinos Kanellopoulos, Rachata Ausavarungnirun, Juan Gómez Luna, Aditya Manglik, João Ferreira, Jeremie S. Kim, Christina Giannoula, Nandita Vijaykumar, Jisung Park, Onur Mutlu

    Abstract: Recent nano-technological advances enable the Monolithic 3D (M3D) integration of multiple memory and logic layers in a single chip with fine-grained connections. M3D technology leads to significantly higher main memory bandwidth and shorter latency than existing 3D-stacked systems. We show for a variety of workloads on a state-of-the-art M3D system that the performance and energy bottlenecks shift… ▽ More

    Submitted 16 October, 2022; originally announced October 2022.

  11. Multi-View Attention Transfer for Efficient Speech Enhancement

    Authors: Wooseok Shin, Hyun Joon Park, Jin Sob Kim, Byung Hoon Lee, Sung Won Han

    Abstract: Recent deep learning models have achieved high performance in speech enhancement; however, it is still challenging to obtain a fast and low-complexity model without significant performance degradation. Previous knowledge distillation studies on speech enhancement could not solve this problem because their output distillation methods do not fit the speech enhancement task in some aspects. In this s… ▽ More

    Submitted 30 October, 2022; v1 submitted 22 August, 2022; originally announced August 2022.

    Comments: Proceedings of Interspeech 2022

  12. arXiv:2206.09999  [pdf, other

    cs.AR cs.CR

    Understanding RowHammer Under Reduced Wordline Voltage: An Experimental Study Using Real DRAM Devices

    Authors: A. Giray Yağlıkçı, Haocong Luo, Geraldo F. de Oliviera, Ataberk Olgun, Minesh Patel, Jisung Park, Hasan Hassan, Jeremie S. Kim, Lois Orosa, Onur Mutlu

    Abstract: RowHammer is a circuit-level DRAM vulnerability, where repeatedly activating and precharging a DRAM row, and thus alternating the voltage of a row's wordline between low and high voltage levels, can cause bit flips in physically nearby rows. Recent DRAM chips are more vulnerable to RowHammer: with technology node scaling, the minimum number of activate-precharge cycles to induce a RowHammer bit fl… ▽ More

    Submitted 20 June, 2022; originally announced June 2022.

    Comments: To appear in DSN 2022

  13. arXiv:2206.06692  [pdf

    q-bio.QM cs.LG physics.soc-ph stat.AP

    COVIDHunter: COVID-19 pandemic wave prediction and mitigation via seasonality-aware modeling

    Authors: Mohammed Alser, Jeremie S. Kim, Nour Almadhoun Alserr, Stefan W. Tell, Onur Mutlu

    Abstract: Early detection and isolation of COVID-19 patients are essential for successful implementation of mitigation strategies and eventually curbing the disease spread. With a limited number of daily COVID-19 tests performed in every country, simulating the COVID-19 spread along with the potential effect of each mitigation strategy currently remains one of the most effective ways in managing the healthc… ▽ More

    Submitted 14 June, 2022; originally announced June 2022.

    Comments: arXiv admin note: substantial text overlap with arXiv:2102.03667

  14. arXiv:2205.01851  [pdf, ps, other

    cs.HC

    Toward Data-Driven Digital Therapeutics Analytics: Literature Review and Research Directions

    Authors: Uichin Lee, Gyuwon Jung, Eun-Yeol Ma, Jin San Kim, Heepyung Kim, Jumabek Alikhanov, Youngtae Noh, Heeyoung Kim

    Abstract: With the advent of Digital Therapeutics (DTx), the development of software as a medical device (SaMD) for mobile and wearable devices has gained significant attention in recent years. Existing DTx evaluations, such as randomized clinical trials, mostly focus on verifying the effectiveness of DTx products. To acquire a deeper understanding of DTx engagement and behavioral adherence, beyond efficacy… ▽ More

    Submitted 18 September, 2022; v1 submitted 3 May, 2022; originally announced May 2022.

    Comments: This paper has been accepted by the IEEE/CAA Journal of Automatica Sinica

  15. arXiv:2203.02550  [pdf, other

    cs.AR

    AgileWatts: An Energy-Efficient CPU Core Idle-State Architecture for Latency-Sensitive Server Applications

    Authors: Jawad Haj Yahya, Haris Volos, Davide B. Bartolini, Georgia Antoniou, Jeremie S. Kim, Zhe Wang, Kleovoulos Kalaitzidis, Tom Rollet, Zhirui Chen, Ye Geng, Onur Mutlu, Yiannakis Sazeides

    Abstract: User-facing applications running in modern datacenters exhibit irregular request patterns and are implemented using a multitude of services with tight latency requirements. These characteristics render ineffective existing energy conserving techniques when processors are idle due to the long transition time from a deep idle power state (C-state). While prior works propose management techniques to… ▽ More

    Submitted 4 October, 2022; v1 submitted 4 March, 2022; originally announced March 2022.

  16. arXiv:2203.02181  [pdf, other

    eess.AS cs.SD eess.SP

    MANNER: Multi-view Attention Network for Noise Erasure

    Authors: Hyun Joon Park, Byung Ha Kang, Wooseok Shin, Jin Sob Kim, Sung Won Han

    Abstract: In the field of speech enhancement, time domain methods have difficulties in achieving both high performance and efficiency. Recently, dual-path models have been adopted to represent long sequential features, but they still have limited representations and poor memory efficiency. In this study, we propose Multi-view Attention Network for Noise ERasure (MANNER) consisting of a convolutional encoder… ▽ More

    Submitted 4 March, 2022; originally announced March 2022.

    Comments: To appear in ICASSP 2022

  17. arXiv:2201.01385  [pdf, other

    cs.AR

    DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number Generators

    Authors: F. Nisa Bostancı, Ataberk Olgun, Lois Orosa, A. Giray Yağlıkçı, Jeremie S. Kim, Hasan Hassan, Oğuz Ergin, Onur Mutlu

    Abstract: Random number generation is an important task in a wide variety of critical applications including cryptographic algorithms, scientific simulations, and industrial testing tools. True Random Number Generators (TRNGs) produce truly random data by sampling a physical entropy source that typically requires custom hardware and suffers from long latency. To enable high-bandwidth and low-latency TRNGs o… ▽ More

    Submitted 6 June, 2022; v1 submitted 4 January, 2022; originally announced January 2022.

  18. arXiv:2112.11587  [pdf, other

    cs.AR

    DarkGates: A Hybrid Power-Gating Architecture to Mitigate the Performance Impact of Dark-Silicon in High Performance Processors

    Authors: Jawad Haj Yahya, Jeremie S. Kim, A. Giray Yaglikci, Jisung Park, Efraim Rotem, Yanos Sazeides, Onur Mutlu

    Abstract: To reduce the leakage power of inactive (dark) silicon components, modern processor systems shut-off these components' power supply using low-leakage transistors, called power-gates. Unfortunately, power-gates increase the system's power-delivery impedance and voltage guardband, limiting the system's maximum attainable voltage (i.e., Vmax) and, thus, the CPU core's maximum attainable frequency (i.… ▽ More

    Submitted 21 December, 2021; originally announced December 2021.

    Comments: The paper is accepted to HPCA 2022

  19. arXiv:2112.06283  [pdf, other

    cs.GT cs.LG

    Bayesian Persuasion for Algorithmic Recourse

    Authors: Keegan Harris, Valerie Chen, Joon Sik Kim, Ameet Talwalkar, Hoda Heidari, Zhiwei Steven Wu

    Abstract: When subjected to automated decision-making, decision subjects may strategically modify their observable features in ways they believe will maximize their chances of receiving a favorable decision. In many practical situations, the underlying assessment rule is deliberately kept secret to avoid gaming and maintain competitive advantage. The resulting opacity forces the decision subjects to rely on… ▽ More

    Submitted 7 October, 2022; v1 submitted 12 December, 2021; originally announced December 2021.

    Comments: In the thirty-sixth Conference on Neural Information Processing Systems (NeurIPS 2022)

  20. arXiv:2110.10603  [pdf, other

    cs.CR cs.AR

    Uncovering In-DRAM RowHammer Protection Mechanisms: A New Methodology, Custom RowHammer Patterns, and Implications

    Authors: Hasan Hassan, Yahya Can Tugrul, Jeremie S. Kim, Victor van der Veen, Kaveh Razavi, Onur Mutlu

    Abstract: The RowHammer vulnerability in DRAM is a critical threat to system security. To protect against RowHammer, vendors commit to security-through-obscurity: modern DRAM chips rely on undocumented, proprietary, on-die mitigations, commonly known as Target Row Refresh (TRR). At a high level, TRR detects and refreshes potential RowHammer-victim rows, but its exact implementations are not openly disclosed… ▽ More

    Submitted 22 October, 2022; v1 submitted 20 October, 2021; originally announced October 2021.

    Comments: This work is to appear at the 54th IEEE/ACM International Symposium on Microarchitecture (MICRO 2021)

  21. A Deeper Look into RowHammer`s Sensitivities: Experimental Analysis of Real DRAM Chips and Implications on Future Attacks and Defenses

    Authors: Lois Orosa, Abdullah Giray Yağlıkçı, Haocong Luo, Ataberk Olgun, Jisung Park, Hasan Hassan, Minesh Patel, Jeremie S. Kim, Onur Mutlu

    Abstract: RowHammer is a circuit-level DRAM vulnerability where repeatedly accessing (i.e., hammering) a DRAM row can cause bit flips in physically nearby rows. The RowHammer vulnerability worsens as DRAM cell size and cell-to-cell spacing shrink. Recent studies demonstrate that modern DRAM chips, including chips previously marketed as RowHammer-safe, are even more vulnerable to RowHammer than older chips s… ▽ More

    Submitted 19 October, 2021; originally announced October 2021.

    Comments: A shorter version of this work is to appear at the 54th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-54), 2021

  22. arXiv:2109.14520  [pdf, other

    cs.AR cs.CR cs.PF

    Improving DRAM Performance, Security, and Reliability by Understanding and Exploiting DRAM Timing Parameter Margins

    Authors: Jeremie S. Kim

    Abstract: This dissertation rigorously characterizes many modern commodity DRAM devices and shows that by exploiting DRAM access timing margins within manufacturer-recommended DRAM timing specifications, we can significantly improve system performance, reduce power consumption, and improve device reliability and security. First, we characterize DRAM timing parameter margins and find that certain regions of… ▽ More

    Submitted 29 September, 2021; originally announced September 2021.

    Comments: Awarded the EDAA Outstanding Dissertation Award in 2021

  23. arXiv:2106.07084  [pdf

    cs.CR cs.AR

    Security Analysis of the Silver Bullet Technique for RowHammer Prevention

    Authors: Abdullah Giray Yağlıkçı, Jeremie S. Kim, Fabrice Devaux, Onur Mutlu

    Abstract: The purpose of this document is to study the security properties of the Silver Bullet algorithm against worst-case RowHammer attacks. We mathematically demonstrate that Silver Bullet, when properly configured and implemented in a DRAM chip, can securely prevent RowHammer attacks. The demonstration focuses on the most representative implementation of Silver Bullet, the patent claiming many implemen… ▽ More

    Submitted 15 June, 2021; v1 submitted 13 June, 2021; originally announced June 2021.

    Comments: 40 pages

  24. arXiv:2106.05632  [pdf, other

    cs.AR cs.CR

    CODIC: A Low-Cost Substrate for Enabling Custom In-DRAM Functionalities and Optimizations

    Authors: Lois Orosa, Yaohua Wang, Mohammad Sadrosadati, Jeremie S. Kim, Minesh Patel, Ivan Puddu, Haocong Luo, Kaveh Razavi, Juan Gómez-Luna, Hasan Hassan, Nika Mansouri-Ghiasi, Saugata Ghose, Onur Mutlu

    Abstract: DRAM is the dominant main memory technology used in modern computing systems. Computing systems implement a memory controller that interfaces with DRAM via DRAM commands. DRAM executes the given commands using internal components (e.g., access transistors, sense amplifiers) that are orchestrated by DRAM internal timings, which are fixed foreach DRAM command. Unfortunately, the use of fixed interna… ▽ More

    Submitted 10 June, 2021; originally announced June 2021.

    Comments: Extended version of an ISCA 2021 paper

    ACM Class: B.3; K.6.5

  25. arXiv:2106.05050  [pdf, other

    cs.DC cs.AR cs.CR

    IChannels: Exploiting Current Management Mechanisms to Create Covert Channels in Modern Processors

    Authors: Jawad Haj-Yahya, Jeremie S. Kim, A. Giray Yaglikci, Ivan Puddu, Lois Orosa, Juan Gómez Luna, Mohammed Alser, Onur Mutlu

    Abstract: To operate efficiently across a wide range of workloads with varying power requirements, a modern processor applies different current management mechanisms, which briefly throttle instruction execution while they adjust voltage and frequency to accommodate for power-hungry instructions (PHIs) in the instruction stream. Doing so 1) reduces the power consumption of non-PHI instructions in typical wo… ▽ More

    Submitted 10 June, 2021; v1 submitted 9 June, 2021; originally announced June 2021.

    Comments: To appear in ISCA 2021

  26. arXiv:2105.08955  [pdf, other

    cs.AR cs.CR

    QUAC-TRNG: High-Throughput True Random Number Generation Using Quadruple Row Activation in Commodity DRAM Chips

    Authors: Ataberk Olgun, Minesh Patel, A. Giray Yağlıkçı, Haocong Luo, Jeremie S. Kim, Nisa Bostancı, Nandita Vijaykumar, Oğuz Ergin, Onur Mutlu

    Abstract: True random number generators (TRNG) sample random physical processes to create large amounts of random numbers for various use cases, including security-critical cryptographic primitives, scientific simulations, machine learning applications, and even recreational entertainment. Unfortunately, not every computing system is equipped with dedicated TRNG hardware, limiting the application space and… ▽ More

    Submitted 25 May, 2021; v1 submitted 19 May, 2021; originally announced May 2021.

    Comments: 15 pages, 14 figures. A shorter version of this work is to appear at the 48th IEEE International Symposium on Computer Architecture (ISCA 2021)

  27. arXiv:2105.06506  [pdf, other

    cs.LG

    Sanity Simulations for Saliency Methods

    Authors: Joon Sik Kim, Gregory Plumb, Ameet Talwalkar

    Abstract: Saliency methods are a popular class of feature attribution explanation methods that aim to capture a model's predictive reasoning by identifying "important" pixels in an input image. However, the development and adoption of these methods are hindered by the lack of access to ground-truth model reasoning, which prevents accurate evaluation. In this work, we design a synthetic benchmarking framewor… ▽ More

    Submitted 16 June, 2022; v1 submitted 13 May, 2021; originally announced May 2021.

    Comments: Accepted to International Conference on Machine Learning (ICML 2022)

  28. arXiv:2104.11401  [pdf

    cs.LG cs.CV eess.IV

    Intentional Deep Overfit Learning (IDOL): A Novel Deep Learning Strategy for Adaptive Radiation Therapy

    Authors: Jaehee Chun, Justin C. Park, Sven Olberg, You Zhang, Dan Nguyen, Jing Wang, Jin Sung Kim, Steve Jiang

    Abstract: In this study, we propose a tailored DL framework for patient-specific performance that leverages the behavior of a model intentionally overfitted to a patient-specific training dataset augmented from the prior information available in an ART workflow - an approach we term Intentional Deep Overfit Learning (IDOL). Implementing the IDOL framework in any task in radiotherapy consists of two training… ▽ More

    Submitted 22 April, 2021; originally announced April 2021.

  29. pLUTo: Enabling Massively Parallel Computation in DRAM via Lookup Tables

    Authors: João Dinis Ferreira, Gabriel Falcao, Juan Gómez-Luna, Mohammed Alser, Lois Orosa, Mohammad Sadrosadati, Jeremie S. Kim, Geraldo F. Oliveira, Taha Shahroodi, Anant Nori, Onur Mutlu

    Abstract: Data movement between the main memory and the processor is a key contributor to execution time and energy consumption in memory-intensive applications. This data movement bottleneck can be alleviated using Processing-in-Memory (PiM). One category of PiM is Processing-using-Memory (PuM), in which computation takes place inside the memory array by exploiting intrinsic analog properties of the memory… ▽ More

    Submitted 3 October, 2022; v1 submitted 15 April, 2021; originally announced April 2021.

    ACM Class: B.3.1; C.1.3

    Journal ref: IEEE/ACM International Symposium on Microarchitecture (MICRO), 2022, 900-919

  30. arXiv:2104.00249  [pdf, other

    cs.CV cs.LG

    LaPred: Lane-Aware Prediction of Multi-Modal Future Trajectories of Dynamic Agents

    Authors: ByeoungDo Kim, Seong Hyeon Park, Seokhwan Lee, Elbek Khoshimjonov, Dongsuk Kum, Junsoo Kim, Jeong Soo Kim, Jun Won Choi

    Abstract: In this paper, we address the problem of predicting the future motion of a dynamic agent (called a target agent) given its current and past states as well as the information on its environment. It is paramount to develop a prediction model that can exploit the contextual information in both static and dynamic environments surrounding the target agent and generate diverse trajectory samples that ar… ▽ More

    Submitted 1 April, 2021; originally announced April 2021.

    Comments: 13 pages, 2 figures, 7 tables, CVPR 2021

  31. arXiv:2103.06254  [pdf, other

    cs.LG

    Interpretable Machine Learning: Moving From Mythos to Diagnostics

    Authors: Valerie Chen, Jeffrey Li, Joon Sik Kim, Gregory Plumb, Ameet Talwalkar

    Abstract: Despite increasing interest in the field of Interpretable Machine Learning (IML), a significant gap persists between the technical objectives targeted by researchers' methods and the high-level goals of consumers' use cases. In this work, we synthesize foundational work on IML methods and evaluation into an actionable taxonomy. This taxonomy serves as a tool to conceptualize the gap between resear… ▽ More

    Submitted 28 July, 2021; v1 submitted 10 March, 2021; originally announced March 2021.

    Comments: Presented at ICML HILL Workshop 2021

  32. BlockHammer: Preventing RowHammer at Low Cost by Blacklisting Rapidly-Accessed DRAM Rows

    Authors: Abdullah Giray Yağlıkçı, Minesh Patel, Jeremie S. Kim, Roknoddin Azizi, Ataberk Olgun, Lois Orosa, Hasan Hassan, Jisung Park, Konstantinos Kanellopoulos, Taha Shahroodi, Saugata Ghose, Onur Mutlu

    Abstract: Aggressive memory density scaling causes modern DRAM devices to suffer from RowHammer, a phenomenon where rapidly activating a DRAM row can cause bit-flips in physically-nearby rows. Recent studies demonstrate that modern DRAM chips, including chips previously marketed as RowHammer-safe, are even more vulnerable to RowHammer than older chips. Many works show that attackers can exploit RowHammer bi… ▽ More

    Submitted 29 July, 2022; v1 submitted 11 February, 2021; originally announced February 2021.

    Comments: A shorter version of this work is to appear at the 27th IEEE International Symposium on High-Performance Computer Architecture (HPCA-27), 2021

  33. arXiv:2102.03667  [pdf, other

    q-bio.PE cs.LG cs.SI stat.OT

    COVIDHunter: An Accurate, Flexible, and Environment-Aware Open-Source COVID-19 Outbreak Simulation Model

    Authors: Mohammed Alser, Jeremie S. Kim, Nour Almadhoun Alserr, Stefan W. Tell, Onur Mutlu

    Abstract: Background: Early detection and isolation of COVID-19 patients are essential for successful implementation of mitigation strategies and eventually curbing the disease spread. With a limited number of daily COVID-19 tests performed in every country, simulating the COVID-19 spread along with the potential effect of each mitigation strategy currently remains one of the most effective ways in managing… ▽ More

    Submitted 8 June, 2022; v1 submitted 6 February, 2021; originally announced February 2021.

  34. arXiv:2009.09094  [pdf, other

    cs.AR

    FlexWatts: A Power- and Workload-Aware Hybrid Power Delivery Network for Energy-Efficient Microprocessors

    Authors: Jawad Haj-Yahya, Mohammed Alser, Jeremie S. Kim, Lois Orosa, Efraim Rotem, Avi Mendelson, Anupam Chattopadhyay, Onur Mutlu

    Abstract: Modern client processors typically use one of three commonly-used power delivery network (PDN): 1) motherboard voltage regulators (MBVR), 2) integrated voltage regulators (IVR), and 3) low dropout voltage regulators (LDO). We observe that the energy-efficiency of each of these PDNs varies with the processor power (e.g., thermal design power (TDP) and dynamic power-state) and workload characteristi… ▽ More

    Submitted 18 September, 2020; originally announced September 2020.

    Comments: To appear in the MICRO 2020 conference proceedings

  35. arXiv:2009.08437  [pdf, other

    cs.AR

    FIGARO: Improving System Performance via Fine-Grained In-DRAM Data Relocation and Caching

    Authors: Yaohua Wang, Lois Orosa, Xiangjun Peng, Yang Guo, Saugata Ghose, Minesh Patel, Jeremie S. Kim, Juan Gómez Luna, Mohammad Sadrosadati, Nika Mansouri Ghiasi, Onur Mutlu

    Abstract: DRAM Main memory is a performance bottleneck for many applications due to the high access latency. In-DRAM caches work to mitigate this latency by augmenting regular-latency DRAM with small-but-fast regions of DRAM that serve as a cache for the data held in the regular-latency region of DRAM. While an effective in-DRAM cache can allow a large fraction of memory requests to be served from a fast DR… ▽ More

    Submitted 17 September, 2020; originally announced September 2020.

    Comments: To appear in the MICRO 2020 conference proceedings

  36. arXiv:2009.07985  [pdf, other

    cs.AR

    Bit-Exact ECC Recovery (BEER): Determining DRAM On-Die ECC Functions by Exploiting DRAM Data Retention Characteristics

    Authors: Minesh Patel, Jeremie S. Kim, Taha Shahroodi, Hasan Hassan, Onur Mutlu

    Abstract: Increasing single-cell DRAM error rates have pushed DRAM manufacturers to adopt on-die error-correction coding (ECC), which operates entirely within a DRAM chip to improve factory yield. The on-die ECC function and its effects on DRAM reliability are considered trade secrets, so only the manufacturer knows precisely how on-die ECC alters the externally-visible reliability characteristics. Conseque… ▽ More

    Submitted 16 September, 2020; originally announced September 2020.

    Comments: To appear in the MICRO 2020 conference proceedings

  37. arXiv:2009.07692  [pdf, other

    cs.AR q-bio.GN

    GenASM: A High-Performance, Low-Power Approximate String Matching Acceleration Framework for Genome Sequence Analysis

    Authors: Damla Senol Cali, Gurpreet S. Kalsi, Zülal Bingöl, Can Firtina, Lavanya Subramanian, Jeremie S. Kim, Rachata Ausavarungnirun, Mohammed Alser, Juan Gomez-Luna, Amirali Boroumand, Anant Nori, Allison Scibisz, Sreenivas Subramoney, Can Alkan, Saugata Ghose, Onur Mutlu

    Abstract: Genome sequence analysis has enabled significant advancements in medical and scientific areas such as personalized medicine, outbreak tracing, and the understanding of evolution. Unfortunately, it is currently bottlenecked by the computational power and memory bandwidth limitations of existing systems, as many of the steps in genome sequence analysis must process a large amount of data. A major co… ▽ More

    Submitted 16 September, 2020; originally announced September 2020.

    Comments: To appear in MICRO 2020

  38. Hardware Implementation of Spiking Neural Networks Using Time-To-First-Spike Encoding

    Authors: Seongbin Oh, Dongseok Kwon, Gyuho Yeom, Won-Mook Kang, Soochang Lee, Sung Yun Woo, Jang Saeng Kim, Min Kyu Park, Jong-Ho Lee

    Abstract: Hardware-based spiking neural networks (SNNs) are regarded as promising candidates for the cognitive computing system due to low power consumption and highly parallel operation. In this work, we train the SNN in which the firing time carries information using temporal backpropagation. The temporally encoded SNN with 512 hidden neurons showed an accuracy of 96.90% for the MNIST test set. Furthermor… ▽ More

    Submitted 22 October, 2021; v1 submitted 8 June, 2020; originally announced June 2020.

  39. arXiv:2005.13121  [pdf, other

    cs.AR cs.CR

    Revisiting RowHammer: An Experimental Analysis of Modern DRAM Devices and Mitigation Techniques

    Authors: Jeremie S. Kim, Minesh Patel, A. Giray Yaglikci, Hasan Hassan, Roknoddin Azizi, Lois Orosa, Onur Mutlu

    Abstract: In order to shed more light on how RowHammer affects modern and future devices at the circuit-level, we first present an experimental characterization of RowHammer on 1580 DRAM chips (408x DDR3, 652x DDR4, and 520x LPDDR4) from 300 DRAM modules (60x DDR3, 110x DDR4, and 130x LPDDR4) with RowHammer protection mechanisms disabled, spanning multiple different technology nodes from across each of the… ▽ More

    Submitted 29 May, 2020; v1 submitted 26 May, 2020; originally announced May 2020.

  40. arXiv:2004.03424  [pdf, other

    cs.LG cs.CY stat.ML

    FACT: A Diagnostic for Group Fairness Trade-offs

    Authors: Joon Sik Kim, Jiahao Chen, Ameet Talwalkar

    Abstract: Group fairness, a class of fairness notions that measure how different groups of individuals are treated differently according to their protected attributes, has been shown to conflict with one another, often with a necessary cost in loss of model's predictive performance. We propose a general diagnostic that enables systematic characterization of these trade-offs in group fairness. We observe tha… ▽ More

    Submitted 7 July, 2020; v1 submitted 7 April, 2020; originally announced April 2020.

    Comments: Accepted to International Conference on Machine Learning (ICML 2020)

  41. arXiv:2002.02778  [pdf, other

    cs.LG cs.CG stat.ML

    PLLay: Efficient Topological Layer based on Persistence Landscapes

    Authors: Kwangho Kim, Jisu Kim, Manzil Zaheer, Joon Sik Kim, Frederic Chazal, Larry Wasserman

    Abstract: We propose PLLay, a novel topological layer for general deep learning models based on persistence landscapes, in which we can efficiently exploit the underlying topological features of the input data structure. In this work, we show differentiability with respect to layer inputs, for a general persistent homology with arbitrary filtration. Thus, our proposed layer can be placed anywhere in the net… ▽ More

    Submitted 17 January, 2021; v1 submitted 7 February, 2020; originally announced February 2020.

    Comments: 29 pages, 7 figures

    Journal ref: 34th Conference on Neural Information Processing Systems (NeurIPS 2020), Vancouver, Canada

  42. arXiv:1912.08735  [pdf, other

    q-bio.GN cs.CE

    AirLift: A Fast and Comprehensive Technique for Remapping Alignments between Reference Genomes

    Authors: Jeremie S. Kim, Can Firtina, Meryem Banu Cavlak, Damla Senol Cali, Mohammed Alser, Nastaran Hajinazar, Can Alkan, Onur Mutlu

    Abstract: As genome sequencing tools and techniques improve, researchers are able to incrementally assemble more accurate reference genomes, which enable sensitivity in read mapping and downstream analysis such as variant calling. A more sensitive downstream analysis is critical for a better understanding of the genome donor (e.g., health characteristics). Therefore, read sets from sequenced samples should… ▽ More

    Submitted 21 November, 2022; v1 submitted 18 December, 2019; originally announced December 2019.

  43. arXiv:1912.01108  [pdf, other

    cs.LG stat.ML

    Automated Dependence Plots

    Authors: David I. Inouye, Liu Leqi, Joon Sik Kim, Bryon Aragam, Pradeep Ravikumar

    Abstract: In practical applications of machine learning, it is necessary to look beyond standard metrics such as test accuracy in order to validate various qualitative properties of a model. Partial dependence plots (PDP), including instance-specific PDPs (i.e., ICE plots), have been widely used as a visual tool to understand or validate a model. Yet, current PDPs suffer from two main drawbacks: (1) a user… ▽ More

    Submitted 29 July, 2020; v1 submitted 2 December, 2019; originally announced December 2019.

    Comments: In Uncertainty in Artificial Intelligence (UAI 2020). Camera-ready version. Code is available at https://github.com/davidinouye/adp

  44. arXiv:1909.03212  [pdf, other

    cs.LG cs.AI stat.ML

    AutoML for Contextual Bandits

    Authors: Praneet Dutta, Joe Cheuk, Jonathan S Kim, Massimo Mascaro

    Abstract: Contextual Bandits is one of the widely popular techniques used in applications such as personalization, recommendation systems, mobile health, causal marketing etc . As a dynamic approach, it can be more efficient than standard A/B testing in minimizing regret. We propose an end to end automated meta-learning pipeline to approximate the optimal Q function for contextual bandits problems. We see t… ▽ More

    Submitted 1 February, 2022; v1 submitted 7 September, 2019; originally announced September 2019.

    Comments: Presented(peer-reviewed) at the REVEAL Workshop at the ACM RecSys Conference Copenhagen'19 [https://sites.google.com/view/reveal2019/proceedings]

  45. Successive Point-of-Interest Recommendation with Local Differential Privacy

    Authors: Jong Seon Kim, Jong Wook Kim, Yon Dohn Chung

    Abstract: A point-of-interest (POI) recommendation system performs an important role in location-based services because it can help people to explore new locations and promote advertisers to launch advertisements at appropriate locations. The existing POI recommendation systems require raw check-in history of users, which might cause location privacy violations. Although there have been several matrix facto… ▽ More

    Submitted 9 May, 2021; v1 submitted 26 August, 2019; originally announced August 2019.

    Comments: This paper has been accepted to IEEE Access

  46. arXiv:1907.12947  [pdf

    cs.DC cs.AR

    A Workload and Programming Ease Driven Perspective of Processing-in-Memory

    Authors: Saugata Ghose, Amirali Boroumand, Jeremie S. Kim, Juan Gómez-Luna, Onur Mutlu

    Abstract: Many modern and emerging applications must process increasingly large volumes of data. Unfortunately, prevalent computing paradigms are not designed to efficiently handle such large-scale data: the energy and performance costs to move this data between the memory subsystem and the CPU now dominate the total costs of computation. This forces system architects and designers to fundamentally rethink… ▽ More

    Submitted 26 July, 2019; originally announced July 2019.

  47. arXiv:1904.09724  [pdf, other

    cs.CR cs.AR

    RowHammer: A Retrospective

    Authors: Onur Mutlu, Jeremie S. Kim

    Abstract: This retrospective paper describes the RowHammer problem in Dynamic Random Access Memory (DRAM), which was initially introduced by Kim et al. at the ISCA 2014 conference~\cite{rowhammer-isca2014}. RowHammer is a prime (and perhaps the first) example of how a circuit-level failure mechanism can cause a practical and widespread system security vulnerability. It is the phenomenon that repeatedly acce… ▽ More

    Submitted 22 April, 2019; originally announced April 2019.

    Comments: A version of this work is to appear at IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) Special Issue on Top Picks in Hardware and Embedded Security, 2019. arXiv admin note: substantial text overlap with arXiv:1703.00626, arXiv:1903.11056

  48. arXiv:1903.09073  [pdf, other

    cs.CV

    Quotienting Impertinent Camera Kinematics for 3D Video Stabilization

    Authors: Thomas W. Mitchel, Christian Wuelker, Jin Seob Kim, Sipu Ruan, Gregory S. Chirikjian

    Abstract: With the recent advent of methods that allow for real-time computation, dense 3D flows have become a viable basis for fast camera motion estimation. Most importantly, dense flows are more robust than the sparse feature matching techniques used by existing 3D stabilization methods, able to better handle large camera displacements and occlusions similar to those often found in consumer videos. Here… ▽ More

    Submitted 5 August, 2019; v1 submitted 21 March, 2019; originally announced March 2019.

    Comments: Added acknowledgements

  49. Apollo: A Sequencing-Technology-Independent, Scalable, and Accurate Assembly Polishing Algorithm

    Authors: Can Firtina, Jeremie S. Kim, Mohammed Alser, Damla Senol Cali, A. Ercument Cicek, Can Alkan, Onur Mutlu

    Abstract: Long reads produced by third-generation sequencing technologies are used to construct an assembly (i.e., the subject's genome), which is further used in downstream genome analysis. Unfortunately, long reads have high sequencing error rates and a large proportion of bps in these long reads are incorrectly identified. These errors propagate to the assembly and affect the accuracy of genome analysis.… ▽ More

    Submitted 7 March, 2020; v1 submitted 12 February, 2019; originally announced February 2019.

    Comments: 9 pages, 1 figure. Accepted in Bioinformatics

    Journal ref: Bioinformatics . 2020 Jun 1;36(12):3669-3679

  50. arXiv:1811.09720  [pdf, other

    cs.LG stat.ML

    Representer Point Selection for Explaining Deep Neural Networks

    Authors: Chih-Kuan Yeh, Joon Sik Kim, Ian E. H. Yen, Pradeep Ravikumar

    Abstract: We propose to explain the predictions of a deep neural network, by pointing to the set of what we call representer points in the training set, for a given test point prediction. Specifically, we show that we can decompose the pre-activation prediction of a neural network into a linear combination of activations of training points, with the weights corresponding to what we call representer values,… ▽ More

    Submitted 23 November, 2018; originally announced November 2018.

    Comments: NIPS 2018