Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

Showing 1–50 of 87 results for author: Pedram, M

Searching in archive cs. Search in all archives.
.
  1. arXiv:2407.14498  [pdf

    cs.CV eess.IV

    Enhancing Layout Hotspot Detection Efficiency with YOLOv8 and PCA-Guided Augmentation

    Authors: Dongyang Wu, Siyang Wang, Mehdi Kamal, Massoud Pedram

    Abstract: In this paper, we present a YOLO-based framework for layout hotspot detection, aiming to enhance the efficiency and performance of the design rule checking (DRC) process. Our approach leverages the YOLOv8 vision model to detect multiple hotspots within each layout image, even when dealing with large layout image sizes. Additionally, to enhance pattern-matching effectiveness, we introduce a novel a… ▽ More

    Submitted 19 July, 2024; originally announced July 2024.

  2. arXiv:2407.12736  [pdf, other

    cs.CV cs.AI cs.AR

    CHOSEN: Compilation to Hardware Optimization Stack for Efficient Vision Transformer Inference

    Authors: Mohammad Erfan Sadeghi, Arash Fayyazi, Suhas Somashekar, Massoud Pedram

    Abstract: Vision Transformers (ViTs) represent a groundbreaking shift in machine learning approaches to computer vision. Unlike traditional approaches, ViTs employ the self-attention mechanism, which has been widely used in natural language processing, to analyze image patches. Despite their advantages in modeling visual tasks, deploying ViTs on hardware platforms, notably Field-Programmable Gate Arrays (FP… ▽ More

    Submitted 24 July, 2024; v1 submitted 17 July, 2024; originally announced July 2024.

  3. arXiv:2407.08192  [pdf, other

    cs.LG cs.AI cs.AR

    ARCO:Adaptive Multi-Agent Reinforcement Learning-Based Hardware/Software Co-Optimization Compiler for Improved Performance in DNN Accelerator Design

    Authors: Arya Fayyazi, Mehdi Kamal, Massoud Pedram

    Abstract: This paper presents ARCO, an adaptive Multi-Agent Reinforcement Learning (MARL)-based co-optimizing compilation framework designed to enhance the efficiency of mapping machine learning (ML) models - such as Deep Neural Networks (DNNs) - onto diverse hardware platforms. The framework incorporates three specialized actor-critic agents within MARL, each dedicated to a distinct aspect of compilation/o… ▽ More

    Submitted 22 July, 2024; v1 submitted 11 July, 2024; originally announced July 2024.

    Comments: Under review

  4. arXiv:2406.14854  [pdf, other

    cs.CV cs.AI eess.IV

    PEANO-ViT: Power-Efficient Approximations of Non-Linearities in Vision Transformers

    Authors: Mohammad Erfan Sadeghi, Arash Fayyazi, Seyedarmin Azizi, Massoud Pedram

    Abstract: The deployment of Vision Transformers (ViTs) on hardware platforms, specially Field-Programmable Gate Arrays (FPGAs), presents many challenges, which are mainly due to the substantial computational and power requirements of their non-linear functions, notably layer normalization, softmax, and Gaussian Error Linear Unit (GELU). These critical functions pose significant obstacles to efficient hardwa… ▽ More

    Submitted 20 June, 2024; originally announced June 2024.

  5. arXiv:2406.12832  [pdf, other

    cs.CL cs.AI cs.LG

    LaMDA: Large Model Fine-Tuning via Spectrally Decomposed Low-Dimensional Adaptation

    Authors: Seyedarmin Azizi, Souvik Kundu, Massoud Pedram

    Abstract: Low-rank adaptation (LoRA) has become the default approach to fine-tune large language models (LLMs) due to its significant reduction in trainable parameters. However, trainable parameter demand for LoRA increases with increasing model embedding dimensions, leading to high compute costs. Additionally, its backward updates require storing high-dimensional intermediate activations and optimizer stat… ▽ More

    Submitted 18 June, 2024; originally announced June 2024.

  6. arXiv:2406.08871  [pdf, other

    cond-mat.supr-con cs.ET

    Superconductor bistable vortex memory for data storage and in-memory computing

    Authors: Mustafa Altay Karamuftuoglu, Beyza Zeynep Ucpinar, Sasan Razmkhah, Massoud Pedram

    Abstract: Superconductor electronics (SCE) is a promising complementary and beyond CMOS technology. However, despite its practical benefits, the realization of SCE logic faces a significant challenge due to the absence of dense and scalable nonvolatile memory designs. While various nonvolatile memory technologies, including Non-destructive readout, vortex transitional memory (VTM), and magnetic memory, have… ▽ More

    Submitted 13 June, 2024; originally announced June 2024.

  7. arXiv:2402.16384  [pdf, other

    cond-mat.supr-con cs.ET cs.NE

    Scalable Superconductor Neuron with Ternary Synaptic Connections for Ultra-Fast SNN Hardware

    Authors: Mustafa Altay Karamuftuoglu, Beyza Zeynep Ucpinar, Arash Fayyazi, Sasan Razmkhah, Mehdi Kamal, Massoud Pedram

    Abstract: A novel high-fan-in differential superconductor neuron structure designed for ultra-high-performance Spiking Neural Network (SNN) accelerators is presented. Utilizing a high-fan-in neuron structure allows us to design SNN accelerators with more synaptic connections, enhancing the overall network capabilities. The proposed neuron design is based on superconductor electronics fabric, incorporating m… ▽ More

    Submitted 27 February, 2024; v1 submitted 26 February, 2024; originally announced February 2024.

    Comments: 9 pages, 5 figures, 2 tables

  8. arXiv:2402.13027  [pdf, other

    cs.HC math.NA

    Solving the decision-making differential equations from eye fixation data in Unity software by using Hermite Long-Short-Term Memory neural network

    Authors: Kourosh Parand, Saeed Setayeshi, Mir Mohsen Pedram, Ali Yoonesi, Aida Pakniyat

    Abstract: Cognitive decision-making processes are crucial aspects of human behavior, influencing various personal and professional domains. This research delves into the application of differential equations in analyzing decision-making accuracy by leveraging eye-tracking data within a virtual industrial town setting. The study unveils a systematic approach to transforming raw data into a differential equat… ▽ More

    Submitted 23 February, 2024; v1 submitted 20 February, 2024; originally announced February 2024.

  9. arXiv:2402.06004  [pdf, other

    cs.CV cs.AI stat.ML

    Memory-Efficient Vision Transformers: An Activation-Aware Mixed-Rank Compression Strategy

    Authors: Seyedarmin Azizi, Mahdi Nazemi, Massoud Pedram

    Abstract: As Vision Transformers (ViTs) increasingly set new benchmarks in computer vision, their practical deployment on inference engines is often hindered by their significant memory bandwidth and (on-chip) memory footprint requirements. This paper addresses this memory limitation by introducing an activation-aware model compression methodology that uses selective low-rank weight tensor approximations of… ▽ More

    Submitted 8 February, 2024; originally announced February 2024.

  10. arXiv:2312.02210  [pdf, other

    cs.LG cs.AI

    Low-Precision Mixed-Computation Models for Inference on Edge

    Authors: Seyedarmin Azizi, Mahdi Nazemi, Mehdi Kamal, Massoud Pedram

    Abstract: This paper presents a mixed-computation neural network processing approach for edge applications that incorporates low-precision (low-width) Posit and low-precision fixed point (FixP) number systems. This mixed-computation approach employs 4-bit Posit (Posit4), which has higher precision around zero, for representing weights with high sensitivity, while it uses 4-bit FixP (FixP4) for representing… ▽ More

    Submitted 2 December, 2023; originally announced December 2023.

  11. Toward Ultra-Low-Power Remote Health Monitoring: An Optimal and Adaptive Compressed Sensing Framework for Activity Recognition

    Authors: J. Pagan, R. Fallahzadeh, M. Pedram, José L. Risco-Martín, J. M. Moya, J. L. Ayala, H. Ghasemzadeh

    Abstract: Activity recognition, as an important component of behavioral monitoring and intervention, has attracted enormous attention, especially in Mobile Cloud Computing (MCC) and Remote Health Monitoring (RHM) paradigms. While recently resource constrained wearable devices have been gaining popularity, their battery life is limited and constrained by the frequent wireless transmission of data to more com… ▽ More

    Submitted 2 November, 2023; originally announced November 2023.

    Journal ref: IEEE Transactions on Mobile Computing, 18(3), pp. 658-673, 2019

  12. arXiv:2310.13857  [pdf, other

    cond-mat.supr-con cs.DL cs.ET

    Superconductor Logic Implementation with All-JJ Inductor-Free Cell Library

    Authors: Haolin Cong, Sasan Razmkhah, Mustafa Altay Karamuftuoglu, Massoud Pedram

    Abstract: Single flux quantum (SFQ) technology has garnered significant attention due to its low switching power and high operational speed. Researchers have been actively pursuing more advanced devices and technologies to further reduce the reliance on inductors, bias, and dynamic power. Recently, innovative magnetic Josephson junction devices have emerged, enhancing the field of superconductor electronics… ▽ More

    Submitted 20 October, 2023; originally announced October 2023.

    Comments: 9 pages, 28 figures, 13 tables

  13. arXiv:2310.07824  [pdf, other

    cs.NE cond-mat.supr-con

    An On-Chip Trainable Neuron Circuit for SFQ-Based Spiking Neural Networks

    Authors: Beyza Zeynep Ucpinar, Mustafa Altay Karamuftuoglu, Sasan Razmkhah, Massoud Pedram

    Abstract: We present an on-chip trainable neuron circuit. Our proposed circuit suits bio-inspired spike-based time-dependent data computation for training spiking neural networks (SNN). The thresholds of neurons can be increased or decreased depending on the desired application-specific spike generation rate. This mechanism provides us with a flexible design and scalable circuit structure. We demonstrate th… ▽ More

    Submitted 11 October, 2023; originally announced October 2023.

    Comments: 5 pages, 8 figures. The work was presented in EUCAS 2023

    Journal ref: in IEEE Transactions on Applied Superconductivity, vol. 34, no. 3, pp. 1-6, May 2024, Art no. 1300506

  14. Unsupervised SFQ-Based Spiking Neural Network

    Authors: Mustafa Altay Karamuftuoglu, Beyza Zeynep Ucpinar, Sasan Razmkhah, Mehdi Kamal, Massoud Pedram

    Abstract: Single Flux Quantum (SFQ) technology represents a groundbreaking advancement in computational efficiency and ultra-high-speed neuromorphic processing. The key features of SFQ technology, particularly data representation, transmission, and processing through SFQ pulses, closely mirror fundamental aspects of biological neural structures. Consequently, SFQ-based circuits emerge as an ideal candidate… ▽ More

    Submitted 5 October, 2023; originally announced October 2023.

    Journal ref: IEEE Transactions on Applied Superconductivity, vol. 34, no. 3, pp. 1-8, May 2024, Art no. 1300708

  15. arXiv:2309.14613  [pdf, other

    cs.ET physics.app-ph

    Design of a Superconducting Multiflux Non-Destructive Readout Memory Unit

    Authors: Beyza Zeynep Ucpinar, Yasemin Kopur, Mustafa Altay Karamuftuoglu, Sasan Razmkhah, Massoud Pedram

    Abstract: Due to low power consumption and high-speed performance, superconductor circuit technology has emerged as an attractive and compelling post-CMOS technology candidate. However, the design of dense memory circuits presents a significant challenge, especially for tasks that demand substantial memory resources. While superconductor memory cells offer impressive speed, their limited density is the prim… ▽ More

    Submitted 12 October, 2023; v1 submitted 25 September, 2023; originally announced September 2023.

    Comments: 6 pages, 11 figures

  16. arXiv:2309.03407  [pdf, other

    quant-ph cond-mat.supr-con cs.CC

    A Josephson Parametric Oscillator-Based Ising Machine

    Authors: Sasan Razmkhah, Mehdi Kamal, Nobuyuki Yoshikawa, Massoud Pedram

    Abstract: Ising machines have emerged as a promising solution for rapidly solving NP-complete combinatorial optimization problems, surpassing the capabilities of traditional computing methods. By efficiently determining the ground state of the Hamiltonian during the annealing process, Ising machines can effectively complement CPUs in tackling optimization challenges. To realize these Ising machines, a bi-st… ▽ More

    Submitted 12 December, 2023; v1 submitted 6 September, 2023; originally announced September 2023.

    Comments: 9 pages, 10 figures, 31 references. Accepted by PRB

    Journal ref: Phys. Rev. B, vol. 109, p. 014511, Jan 2024

  17. arXiv:2308.06422  [pdf, other

    cs.LG cs.AI cs.NE stat.ML

    Sensitivity-Aware Mixed-Precision Quantization and Width Optimization of Deep Neural Networks Through Cluster-Based Tree-Structured Parzen Estimation

    Authors: Seyedarmin Azizi, Mahdi Nazemi, Arash Fayyazi, Massoud Pedram

    Abstract: As the complexity and computational demands of deep learning models rise, the need for effective optimization methods for neural network designs becomes paramount. This work introduces an innovative search mechanism for automatically selecting the best bit-width and layer-width for individual neural network layers. This leads to a marked enhancement in deep neural network efficiency. The search do… ▽ More

    Submitted 16 August, 2023; v1 submitted 11 August, 2023; originally announced August 2023.

  18. arXiv:2307.12216  [pdf, other

    cs.ET

    A Life-Cycle Energy and Inventory Analysis of Adiabatic Quantum-Flux-Parametron Circuits

    Authors: Masoud Zabihi, Yanyue Xie, Zhengang Li, Peiyan Dong, Geng Yuan, Olivia Chen, Massoud Pedram, Yanzhi Wang

    Abstract: The production process of superconductive integrated circuits is complex and consumes significant amounts of resources and energy. Therefore, it is crucial to evaluate the environmental impact of this emerging technology. An attractive option for the next generation of superconductive technology is Adiabatic Quantum-Flux-Parametron (AQFP) devices. This study is the first to present a comprehensive… ▽ More

    Submitted 22 July, 2023; originally announced July 2023.

  19. arXiv:2307.07503  [pdf

    eess.IV cs.CV cs.LG

    Brain Tumor Detection using Convolutional Neural Networks with Skip Connections

    Authors: Aupam Hamran, Marzieh Vaeztourshizi, Amirhossein Esmaili, Massoud Pedram

    Abstract: In this paper, we present different architectures of Convolutional Neural Networks (CNN) to analyze and classify the brain tumors into benign and malignant types using the Magnetic Resonance Imaging (MRI) technique. Different CNN architecture optimization techniques such as widening and deepening of the network and adding skip connections are applied to improve the accuracy of the network. Results… ▽ More

    Submitted 14 July, 2023; originally announced July 2023.

  20. arXiv:2307.03784  [pdf, other

    cs.AR

    NeuroBlend: Towards Low-Power yet Accurate Neural Network-Based Inference Engine Blending Binary and Fixed-Point Convolutions

    Authors: Arash Fayyazi, Mahdi Nazemi, Arya Fayyazi, Massoud Pedram

    Abstract: This paper introduces NeuroBlend, a novel neural network architecture featuring a unique building block known as the Blend module. This module incorporates binary and fixed-point convolutions in its main and skip paths, respectively. There is a judicious deployment of batch normalizations on both main and skip paths inside the Blend module and in between consecutive Blend modules. Additionally, we… ▽ More

    Submitted 1 May, 2024; v1 submitted 7 July, 2023; originally announced July 2023.

    Comments: 6 pages - In proceeding of GLSVLSI 2024

  21. arXiv:2305.04526  [pdf, other

    cs.CV

    CrAFT: Compression-Aware Fine-Tuning for Efficient Visual Task Adaptation

    Authors: Jung Hwan Heo, Seyedarmin Azizi, Arash Fayyazi, Massoud Pedram

    Abstract: Transfer learning has become a popular task adaptation method in the era of foundation models. However, many foundation models require large storage and computing resources, which makes off-the-shelf deployment impractical. Post-training compression techniques such as pruning and quantization can help lower deployment costs. Unfortunately, the resulting performance degradation limits the usability… ▽ More

    Submitted 8 July, 2023; v1 submitted 8 May, 2023; originally announced May 2023.

    Comments: Preprint

  22. arXiv:2304.06299  [pdf, other

    cs.AR

    Algorithms and Hardware for Efficient Processing of Logic-based Neural Networks

    Authors: Jingkai Hong, Arash Fayyazi, Amirhossein Esmaili, Mahdi Nazemi, Massoud Pedram

    Abstract: Recent efforts to improve the performance of neural network (NN) accelerators that meet today's application requirements have given rise to a new trend of logic-based NN inference relying on fixed-function combinational logic (FFCL). This paper presents an innovative optimization methodology for compiling and mapping NNs utilizing FFCL into a logic processor. The presented method maps FFCL blocks… ▽ More

    Submitted 13 April, 2023; originally announced April 2023.

  23. arXiv:2304.05237  [pdf

    cs.CR cs.AR cs.DC cs.PF

    TREBUCHET: Fully Homomorphic Encryption Accelerator for Deep Computation

    Authors: David Bruce Cousins, Yuriy Polyakov, Ahmad Al Badawi, Matthew French, Andrew Schmidt, Ajey Jacob, Benedict Reynwar, Kellie Canida, Akhilesh Jaiswal, Clynn Mathew, Homer Gamil, Negar Neda, Deepraj Soni, Michail Maniatakos, Brandon Reagen, Naifeng Zhang, Franz Franchetti, Patrick Brinich, Jeremy Johnson, Patrick Broderick, Mike Franusich, Bo Zhang, Zeming Cheng, Massoud Pedram

    Abstract: Secure computation is of critical importance to not only the DoD, but across financial institutions, healthcare, and anywhere personally identifiable information (PII) is accessed. Traditional security techniques require data to be decrypted before performing any computation. When processed on untrusted systems the decrypted data is vulnerable to attacks to extract the sensitive information. To ad… ▽ More

    Submitted 18 April, 2023; v1 submitted 11 April, 2023; originally announced April 2023.

    Comments: 6 pages, 5 figures and 2 tables

  24. arXiv:2303.17118  [pdf, other

    cs.AR cs.CR

    RPU: The Ring Processing Unit

    Authors: Deepraj Soni, Negar Neda, Naifeng Zhang, Benedict Reynwar, Homer Gamil, Benjamin Heyman, Mohammed Nabeel, Ahmad Al Badawi, Yuriy Polyakov, Kellie Canida, Massoud Pedram, Michail Maniatakos, David Bruce Cousins, Franz Franchetti, Matthew French, Andrew Schmidt, Brandon Reagen

    Abstract: Ring-Learning-with-Errors (RLWE) has emerged as the foundation of many important techniques for improving security and privacy, including homomorphic encryption and post-quantum cryptography. While promising, these techniques have received limited use due to their extreme overheads of running on general-purpose machines. In this paper, we present a novel vector Instruction Set Architecture (ISA) a… ▽ More

    Submitted 13 April, 2023; v1 submitted 29 March, 2023; originally announced March 2023.

  25. arXiv:2303.02331  [pdf, other

    cs.CV cs.AI cs.LG

    Training-Free Acceleration of ViTs with Delayed Spatial Merging

    Authors: Jung Hwan Heo, Seyedarmin Azizi, Arash Fayyazi, Massoud Pedram

    Abstract: Token merging has emerged as a new paradigm that can accelerate the inference of Vision Transformers (ViTs) without any retraining or fine-tuning. To push the frontier of training-free acceleration in ViTs, we improve token merging by adding the perspectives of 1) activation outliers and 2) hierarchical representations. Through a careful analysis of the attention behavior in ViTs, we characterize… ▽ More

    Submitted 1 July, 2024; v1 submitted 4 March, 2023; originally announced March 2023.

    Comments: ICML 2024 ES-FoMo Workshop

  26. arXiv:2208.13850  [pdf

    cs.AR

    AMR-MUL: An Approximate Maximally Redundant Signed Digit Multiplier

    Authors: Saba Amanollahi, Mehdi Kamal, Ali-Afzali-Kusha, Massoud Pedram

    Abstract: In this paper, we present an energy-efficient, yet high-speed approximate maximally redundant signed digit (MRSD) multiplier (called AMR-MUL) based on a parallel structure. For the reduction stage, we suggest several approximate Full-Adder (FA) reduction cells with average positive and negative errors obtained by simplifying the structure of an exact FA cell. The optimum selection of these cells f… ▽ More

    Submitted 29 August, 2022; originally announced August 2022.

  27. arXiv:2208.08547  [pdf, other

    quant-ph cs.AR

    Better Than Worst-Case Decoding for Quantum Error Correction

    Authors: Gokul Subramanian Ravi, Jonathan M. Baker, Arash Fayyazi, Sophia Fuhui Lin, Ali Javadi-Abhari, Massoud Pedram, Frederic T. Chong

    Abstract: The overheads of classical decoding for quantum error correction on superconducting quantum systems grow rapidly with the number of logical qubits and their correction code distance. Decoding at room temperature is bottle-necked by refrigerator I/O bandwidth while cryogenic on-chip decoding is limited by area/power/thermal budget. To overcome these overheads, we are motivated by the observation… ▽ More

    Submitted 25 October, 2022; v1 submitted 17 August, 2022; originally announced August 2022.

    Comments: To appear at the 28th Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS 2023)

  28. arXiv:2208.00302  [pdf

    cs.AR cs.LG

    Efficient Compilation and Mapping of Fixed Function Combinational Logic onto Digital Signal Processors Targeting Neural Network Inference and Utilizing High-level Synthesis

    Authors: Soheil Nazar Shahsavani, Arash Fayyazi, Mahdi Nazemi, Massoud Pedram

    Abstract: Recent efforts for improving the performance of neural network (NN) accelerators that meet today's application requirements have given rise to a new trend of logic-based NN inference relying on fixed function combinational logic. Mapping such large Boolean functions with many input variables and product terms to digital signal processors (DSPs) on Field-programmable gate arrays (FPGAs) needs a nov… ▽ More

    Submitted 30 July, 2022; originally announced August 2022.

    Comments: 25 page, 10 figures. Under review

  29. Sparse Periodic Systolic Dataflow for Lowering Latency and Power Dissipation of Convolutional Neural Network Accelerators

    Authors: Jung Hwan Heo, Arash Fayyazi, Amirhossein Esmaili, Massoud Pedram

    Abstract: This paper introduces the sparse periodic systolic (SPS) dataflow, which advances the state-of-the-art hardware accelerator for supporting lightweight neural networks. Specifically, the SPS dataflow enables a novel hardware design approach unlocked by an emergent pruning scheme, periodic pattern-based sparsity (PPS). By exploiting the regularity of PPS, our sparsity-aware compiler optimally reorde… ▽ More

    Submitted 30 June, 2022; originally announced July 2022.

    Comments: 6 pages, Published in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED) 2022

  30. arXiv:2204.00426  [pdf, other

    cs.CV

    A Fast and Efficient Conditional Learning for Tunable Trade-Off between Accuracy and Robustness

    Authors: Souvik Kundu, Sairam Sundaresan, Massoud Pedram, Peter A. Beerel

    Abstract: Existing models that achieve state-of-the-art (SOTA) performance on both clean and adversarially-perturbed images rely on convolution operations conditioned with feature-wise linear modulation (FiLM) layers. These layers require many new parameters and are hyperparameter sensitive. They significantly increase training time, memory cost, and potential latency which can prove costly for resource-lim… ▽ More

    Submitted 28 March, 2022; originally announced April 2022.

    Comments: 14 pages, 10 figures, 1 table

  31. arXiv:2112.13843  [pdf, other

    cs.CV cs.LG

    BMPQ: Bit-Gradient Sensitivity Driven Mixed-Precision Quantization of DNNs from Scratch

    Authors: Souvik Kundu, Shikai Wang, Qirui Sun, Peter A. Beerel, Massoud Pedram

    Abstract: Large DNNs with mixed-precision quantization can achieve ultra-high compression while retaining high classification performance. However, because of the challenges in finding an accurate metric that can guide the optimization process, these methods either sacrifice significant performance compared to the 32-bit floating-point (FP-32) baseline or rely on a compute-expensive, iterative training poli… ▽ More

    Submitted 23 December, 2021; originally announced December 2021.

    Comments: 4 pages, 2 figures, 2 tables

  32. arXiv:2110.11417  [pdf, other

    cs.CV cs.AI

    HIRE-SNN: Harnessing the Inherent Robustness of Energy-Efficient Deep Spiking Neural Networks by Training with Crafted Input Noise

    Authors: Souvik Kundu, Massoud Pedram, Peter A. Beerel

    Abstract: Low-latency deep spiking neural networks (SNNs) have become a promising alternative to conventional artificial neural networks (ANNs) because of their potential for increased energy efficiency on event-driven neuromorphic hardware. Neural networks, including SNNs, however, are subject to various adversarial attacks and must be trained to remain resilient against such attacks for many applications.… ▽ More

    Submitted 6 October, 2021; originally announced October 2021.

    Comments: 10 pages, 11 figures, 7 tables, International Conference on Computer Vision

  33. arXiv:2109.09351  [pdf, other

    cs.NE

    An Enhanced Differential Evolution Algorithm Using a Novel Clustering-based Mutation Operator

    Authors: Seyed Jalaleddin Mousavirad, Gerald Schaefer, Iakov Korovin, Mahshid Helali Moghadam, Mehrdad Saadatmand, Mahdi Pedram

    Abstract: Differential evolution (DE) is an effective population-based metaheuristic algorithm for solving complex optimisation problems. However, the performance of DE is sensitive to the mutation operator. In this paper, we propose a novel DE algorithm, Clu-DE, that improves the efficacy of DE using a novel clustering-based mutation operator. First, we find, using a clustering algorithm, a winner cluster… ▽ More

    Submitted 20 September, 2021; originally announced September 2021.

    Comments: 6 pages, IEEE International Conference on Systems, Man, and Cybernetics (SMC 2021)

  34. arXiv:2107.12445  [pdf, other

    cs.NE cs.LG

    Towards Low-Latency Energy-Efficient Deep SNNs via Attention-Guided Compression

    Authors: Souvik Kundu, Gourav Datta, Massoud Pedram, Peter A. Beerel

    Abstract: Deep spiking neural networks (SNNs) have emerged as a potential alternative to traditional deep learning frameworks, due to their promise to provide increased compute efficiency on event-driven neuromorphic hardware. However, to perform well on complex vision applications, most SNN training frameworks yield large inference latency which translates to increased spike activity and reduced energy eff… ▽ More

    Submitted 16 July, 2021; originally announced July 2021.

    Comments: 10 Pages, 8 Figures, 5 Tables

  35. arXiv:2104.05421  [pdf, other

    cs.LG cs.AI

    NullaNet Tiny: Ultra-low-latency DNN Inference Through Fixed-function Combinational Logic

    Authors: Mahdi Nazemi, Arash Fayyazi, Amirhossein Esmaili, Atharva Khare, Soheil Nazar Shahsavani, Massoud Pedram

    Abstract: While there is a large body of research on efficient processing of deep neural networks (DNNs), ultra-low-latency realization of these models for applications with stringent, sub-microsecond latency requirements continues to be an unresolved, challenging problem. Field-programmable gate array (FPGA)-based DNN accelerators are gaining traction as a serious contender to replace graphics processing u… ▽ More

    Submitted 6 April, 2021; originally announced April 2021.

  36. arXiv:2102.11651  [pdf

    cs.CL cs.AI

    A Novel Deep Learning Method for Textual Sentiment Analysis

    Authors: Hossein Sadr, Mozhdeh Nazari Solimandarabi, Mir Mohsen Pedram, Mohammad Teshnehlab

    Abstract: Sentiment analysis is known as one of the most crucial tasks in the field of natural language processing and Convolutional Neural Network (CNN) is one of those prominent models that is commonly used for this aim. Although convolutional neural networks have obtained remarkable results in recent years, they are still confronted with some limitations. Firstly, they consider that all words in a senten… ▽ More

    Submitted 23 February, 2021; originally announced February 2021.

  37. arXiv:2101.09693  [pdf

    cs.CL cs.CC cs.LG

    A2P-MANN: Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks

    Authors: Mohsen Ahmadzadeh, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram

    Abstract: In this work, to limit the number of required attention inference hops in memory-augmented neural networks, we propose an online adaptive approach called A2P-MANN. By exploiting a small neural network classifier, an adequate number of attention inference hops for the input query is determined. The technique results in elimination of a large number of unnecessary computations in extracting the corr… ▽ More

    Submitted 23 February, 2022; v1 submitted 24 January, 2021; originally announced January 2021.

    Comments: 12 pages, 12 figures, 5 tables

  38. arXiv:2101.02667  [pdf

    cs.AR cs.LG

    BRDS: An FPGA-based LSTM Accelerator with Row-Balanced Dual-Ratio Sparsification

    Authors: Seyed Abolfazl Ghasemzadeh, Erfan Bank Tavakoli, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram

    Abstract: In this paper, first, a hardware-friendly pruning algorithm for reducing energy consumption and improving the speed of Long Short-Term Memory (LSTM) neural network accelerators is presented. Next, an FPGA-based platform for efficient execution of the pruned networks based on the proposed algorithm is introduced. By considering the sensitivity of two weight matrices of the LSTM models in pruning, d… ▽ More

    Submitted 7 January, 2021; originally announced January 2021.

    Comments: 8 pages, 9 figures, 2 tables

  39. arXiv:2011.03083  [pdf, other

    cs.CV cs.CR cs.LG

    A Tunable Robust Pruning Framework Through Dynamic Network Rewiring of DNNs

    Authors: Souvik Kundu, Mahdi Nazemi, Peter A. Beerel, Massoud Pedram

    Abstract: This paper presents a dynamic network rewiring (DNR) method to generate pruned deep neural network (DNN) models that are robust against adversarial attacks yet maintain high accuracy on clean images. In particular, the disclosed DNR method is based on a unified constrained optimization formulation using a hybrid loss function that merges ultra-high model compression with robust adversarial trainin… ▽ More

    Submitted 24 November, 2020; v1 submitted 3 November, 2020; originally announced November 2020.

    Comments: 8 pages, 4 figures, conference paper

  40. arXiv:2007.15222  [pdf, other

    cs.LG stat.ML

    SynergicLearning: Neural Network-Based Feature Extraction for Highly-Accurate Hyperdimensional Learning

    Authors: Mahdi Nazemi, Amirhossein Esmaili, Arash Fayyazi, Massoud Pedram

    Abstract: Machine learning models differ in terms of accuracy, computational/memory complexity, training time, and adaptability among other characteristics. For example, neural networks (NNs) are well-known for their high accuracy due to the quality of their automatic feature extraction while brain-inspired hyperdimensional (HD) learning models are famous for their quick training, computational efficiency,… ▽ More

    Submitted 4 August, 2020; v1 submitted 30 July, 2020; originally announced July 2020.

  41. Deep-PowerX: A Deep Learning-Based Framework for Low-Power Approximate Logic Synthesis

    Authors: Ghasem Pasandi, Mackenzie Peterson, Moises Herrera, Shahin Nazarian, Massoud Pedram

    Abstract: This paper aims at integrating three powerful techniques namely Deep Learning, Approximate Computing, and Low Power Design into a strategy to optimize logic at the synthesis level. We utilize advances in deep learning to guide an approximate logic synthesis engine to minimize the dynamic power consumption of a given digital CMOS circuit, subject to a predetermined error rate at the primary outputs… ▽ More

    Submitted 2 July, 2020; originally announced July 2020.

  42. arXiv:2006.03269  [pdf, other

    cs.ET

    HIPE-MAGIC: A Technology-Aware Synthesis and Mapping Flow for HIghly Parallel Execution of Memristor-Aided LoGIC

    Authors: Arash Fayyazi, Amirhossein Esmaili, Massoud Pedram

    Abstract: Recent efforts for finding novel computing paradigms that meet today's design requirements have given rise to a new trend of processing-in-memory relying on non-volatile memories. In this paper, we present HIPE-MAGIC, a technology-aware synthesis and mapping flow for highly parallel execution of the memristor-based logic. Our framework is built upon two fundamental contributions: balancing techniq… ▽ More

    Submitted 5 June, 2020; originally announced June 2020.

  43. arXiv:2005.13735  [pdf

    cs.ET

    Logic Verification of Ultra-Deep Pipelined Beyond-CMOS Technologies

    Authors: Arash Fayyazi, Shahin Nazarian, Massoud Pedram

    Abstract: Traditional logical equivalence checking (LEC) which plays a major role in entire chip design process faces challenges of meeting the requirements demanded by the many emerging technologies that are based on logic models different from standard complementary metal oxide semiconductor (CMOS). In this paper, we propose a LEC framework to be employed in the verification process of beyond-CMOS circuit… ▽ More

    Submitted 27 May, 2020; originally announced May 2020.

    Comments: 10 pages, 8 figures, 3 tables

  44. arXiv:2002.05292  [pdf, other

    eess.SP cs.LG

    NN-PARS: A Parallelized Neural Network Based Circuit Simulation Framework

    Authors: Mohammad Saeed Abrishami, Hao Ge, Justin F. Calderon, Massoud Pedram, Shahin Nazarian

    Abstract: The shrinking of transistor geometries as well as the increasing complexity of integrated circuits, significantly aggravate nonlinear design behavior. This demands accurate and fast circuit simulation to meet the design quality and time-to-market constraints. The existing circuit simulators which utilize lookup tables and/or closed-form expressions are either slow or inaccurate in analyzing the no… ▽ More

    Submitted 12 February, 2020; originally announced February 2020.

  45. arXiv:2002.05291  [pdf, other

    cs.LG cs.AR eess.SP stat.ML

    CSM-NN: Current Source Model Based Logic Circuit Simulation -- A Neural Network Approach

    Authors: Mohammad Saeed Abrishami, Massoud Pedram, Shahin Nazarian

    Abstract: The miniaturization of transistors down to 5nm and beyond, plus the increasing complexity of integrated circuits, significantly aggravate short channel effects, and demand analysis and optimization of more design corners and modes. Simulators need to model output variables related to circuit timing, power, noise, etc., which exhibit nonlinear behavior. The existing simulation and sign-off tools, b… ▽ More

    Submitted 12 February, 2020; originally announced February 2020.

    Comments: 37th IEEE International Conference on Computer Design (ICCD), 2019

  46. arXiv:2002.04776  [pdf, other

    cs.CV cs.LG

    Efficient Training of Deep Convolutional Neural Networks by Augmentation in Embedding Space

    Authors: Mohammad Saeed Abrishami, Amir Erfan Eshratifar, David Eigen, Yanzhi Wang, Shahin Nazarian, Massoud Pedram

    Abstract: Recent advances in the field of artificial intelligence have been made possible by deep neural networks. In applications where data are scarce, transfer learning and data augmentation techniques are commonly used to improve the generalization of deep learning models. However, fine-tuning a transfer model with data augmentation in the raw input space has a high computational cost to run the full ne… ▽ More

    Submitted 11 February, 2020; originally announced February 2020.

  47. arXiv:2001.10715  [pdf, other

    cs.AR

    qBSA: Logic Design of a 32-bit Block-Skewed RSFQ Arithmetic Logic Unit

    Authors: Souvik Kundu, Gourav datta, Peter A. Beerel, Massoud Pedram

    Abstract: Single flux quantum (SFQ) circuits are an attractive beyond-CMOS technology because they promise two orders of magnitude lower power at clock frequencies exceeding 25 GHz.However, every SFQ gate is clocked creating very deep gate-level pipelines that are difficult to keep full, particularly for sequences that include data-dependent operations. This paper proposes to increase the throughput of SFQ… ▽ More

    Submitted 29 January, 2020; originally announced January 2020.

    Comments: 3 pages, 3 figures

  48. arXiv:2001.10710  [pdf, other

    cs.CV cs.LG cs.PF

    Pre-defined Sparsity for Low-Complexity Convolutional Neural Networks

    Authors: Souvik Kundu, Mahdi Nazemi, Massoud Pedram, Keith M. Chugg, Peter A. Beerel

    Abstract: The high energy cost of processing deep convolutional neural networks impedes their ubiquitous deployment in energy-constrained platforms such as embedded systems and IoT devices. This work introduces convolutional layers with pre-defined sparse 2D kernels that have support sets that repeat periodically within and across filters. Due to the efficient storage of our periodic sparse kernels, the par… ▽ More

    Submitted 4 February, 2020; v1 submitted 29 January, 2020; originally announced January 2020.

    Comments: 14 pages, 13 figures

  49. arXiv:2001.05870  [pdf, other

    cs.DC cs.CV cs.LG

    Runtime Deep Model Multiplexing for Reduced Latency and Energy Consumption Inference

    Authors: Amir Erfan Eshratifar, Massoud Pedram

    Abstract: We propose a learning algorithm to design a light-weight neural multiplexer that given the input and computational resource requirements, calls the model that will consume the minimum compute resources for a successful inference. Mobile devices can use the proposed algorithm to offload the hard inputs to the cloud while inferring the easy ones locally. Besides, in the large scale cloud-based intel… ▽ More

    Submitted 17 September, 2020; v1 submitted 14 January, 2020; originally announced January 2020.

  50. arXiv:1912.05160  [pdf, other

    cs.DC cs.LG

    Energy-aware Scheduling of Jobs in Heterogeneous Cluster Systems Using Deep Reinforcement Learning

    Authors: Amirhossein Esmaili, Massoud Pedram

    Abstract: Energy consumption is one of the most critical concerns in designing computing devices, ranging from portable embedded systems to computer cluster systems. Furthermore, in the past decade, cluster systems have increasingly risen as popular platforms to run computing-intensive real-time applications in which the performance is of great importance. However, due to different characteristics of real-t… ▽ More

    Submitted 11 December, 2019; originally announced December 2019.

    Comments: Accepted in International Symposium on Quality Electronic Design (ISQED), 2020