Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

System-level reliability assessment of optical network on chip

Published: 01 June 2023 Publication History

Abstract

Optical Network on Chip (ONoC) is now considered a promising alternative to traditional electrical interconnects. Meanwhile, several challenges such as temperature and process variations, aging, crosstalk noise, and insertion loss endanger the data transmission reliability of ONoCs. Many investigations have been made to evaluate the effect of these phenomena on ONoC’s reliability. However, system-level reliability assessment of ONoCs based on the failure rate of its essential elements has not been considered by the researchers yet. In this paper, we offer a reliability framework to calculate the reliability of micro-ring resonator, optical path, optical router, and optical topology architecture. Moreover, we developed a system-level simulator called Reliability Assessment of Photonic Network-on-Chips (RAP-NoC) to evaluate the reliability of different 2D and 3D optical routers and network-on-chip architectures in different data traffic patterns. The simulation results depict that Mesh topology improves the reliability parameter by about 5.2% compared to Torus in the same size and traffic patterns. Also, it can be concluded that Crux and DIPU routers are more reliable than the other 2D and 3D state-of-the-art optical routers.

References

[1]
A. Hemani, A. Jantsch, S. Kumar, A. Postula, J. Oberg, M. Millberg, D. Lindqvist, Network on chip: An architecture for billion transistor era, in: Proc. of the IEEE NorChip Conference, Vol. 31, (20) 2000.
[2]
Johnsson L., Netzer G., The impact of Moore’s Law and loss of Dennard scaling: Are DSP SoCs an energy efficient alternative to x86 SoCs?, in: Journal of Physics: Conference Series, 762, IOP Publishing, 2016.
[3]
Esmaeilzadeh H., Blem E., St. Amant R., Sankaralingam K., Burger D., Dark silicon and the end of multicore scaling, IEEE Micro 32 (3) (2012) 122–134.
[4]
Namazi A., Abdollahi M., Safari S., Mohammadi S., Daneshtalab M., LRTM: Life-time and reliability-aware task mapping approach for heterogeneous multi-core systems, in: 2018 11th International Workshop on Network on Chip Architectures (NoCArc), IEEE, 2018, pp. 1–6.
[5]
Baharloo M., Aligholipour R., Abdollahi M., Khonsari A., Changesub: A power efficient multiple network-on-chip architecture, Comput. Electr. Eng. 83 (2020).
[6]
Aligholipour R., Baharloo M., Farzaneh B., Abdollahi M., Khonsari A., TAMA: Turn-aware mapping and architecture–a power-efficient network-on-chip approach, ACM Trans. Embed. Comput. Syst. (TECS) 20 (5) (2021) 1–24.
[7]
Shammasi M., Baharloo M., Abdollahi M., Baniasadi A., Turn-aware application mapping using reinforcement learning in power gating-enabled network on chip, in: 2022 IEEE 15th International Symposium on Embedded Multicore/Many-Core Systems-on-Chip (MCSoC), IEEE, 2022, pp. 345–352.
[8]
Shacham A., Bergman K., Carloni L.P., Photonic networks-on-chip for future generations of chip multiprocessors, IEEE Trans. Comput. 57 (9) (2008) 1246–1260.
[9]
Koohi S., Abdollahi M., Hessabi S., All-optical wavelength-routed NoC based on a novel hierarchical topology, in: Proceedings of the Fifth ACM/IEEE International Symposium, IEEE, 2011, pp. 97–104.
[10]
Abdollahi M., Namazi A., Mohammadi S., Clustering effects on the design of opto-electrical network-on-chip, in: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP, IEEE, 2016, pp. 427–430.
[11]
Baharloo M., Khonsari A., A low-power wireless-assisted multiple network-on-chip, Microprocess. Microsyst. 63 (2018) 104–115.
[12]
Namazi A., Safari S., Mohammadi S., Abdollahi M., SORT: Semi online reliable task mapping for embedded multi-core systems, ACM Trans. Model. Perform. Eval. Comput. Syst. (TOMPECS) 4 (2) (2019) 1–25.
[13]
Namazi A., Abdollahi M., Safari S., Mohammadi S., LORAP: low-overhead power and reliability-aware task mapping based on instruction footprint for real-time applications, in: 2017 Euromicro Conference on Digital System Design, DSD, IEEE, 2017, pp. 364–367.
[14]
Tavana M.K., Teimouri N., Abdollahi M., Goudarzi M., Simultaneous hardware and time redundancy with online task scheduling for low energy highly reliable standby-sparing system, ACM Trans. Embed. Comput. Syst. 13 (4) (2014) https://doi.org/10.1145/2523781/2560035.
[15]
Shacham A., Bergman K., Carloni L.P., Photonic networks-on-chip for future generations of chip multiprocessors, IEEE Trans. Comput. 57 (9) (2008) 1246–1260.
[16]
Petracca M., Bergman K., Carloni L.P., Photonic networks-on-chip: Opportunities and challenges, in: 2008 IEEE International Symposium on Circuits and Systems, IEEE, 2008, pp. 2789–2792.
[17]
Shacham A., Bergman K., Carloni L.P., On the design of a photonic network-on-chip, in: First International Symposium on Networks-on-Chip (NOCS’07), IEEE, 2007, pp. 53–64.
[18]
Bergman K., Carloni L.P., Biberman A., Chan J., Hendry G., Photonic Network-On-Chip Design, Springer, 2014.
[19]
Ding M., Wonfor A., Cheng Q., Penty R.V., White I.H., Hybrid MZI-SOA InGaAs/InP photonic integrated switches, IEEE J. Sel. Top. Quantum Electron. 24 (1) (2017) 1–8.
[20]
Dehghani F., Mohammadi S., Barekatain B., Abdollahi M., ICES: an innovative crosstalk-efficient 2× 2 photonic-crystal switch, Opt. Quantum Electron. 53 (5) (2021) 1–15.
[21]
Van Campenhout J., Green W.M., Vlasov Y.A., Design of a digital, ultra-broadband electro-optic switch for reconfigurable optical networks-on-chip, Opt. Express 17 (26) (2009) 23793–23808.
[22]
Thakkar I.G., Pasricha S., et al., LIBRA: Thermal and process variation aware reliability management in photonic networks-on-chip, IEEE Trans. Multi-Scale Comput. Syst. 4 (4) (2018) 758–772.
[23]
Zhou Z., Yin B., Michel J., On-chip light sources for silicon photonics, Light: Sci. Appl. 4 (11) (2015) e358.
[24]
Kappeler R., Radiation testing of micro photonic components stagiaire project report, ESA/ESTEC. Ref. No. EWP 2263 (2004).
[25]
Mohamed M., Li Z., Chen X., Shang L., Mickelson A.R., Reliability-aware design flow for silicon photonics on-chip interconnect, IEEE Trans. VLSI Syst. 22 (8) (2013) 1763–1776.
[26]
Abdollahi M., Firouzabadi Y., Dehghani F., Mohammadi S., THAMON: Thermal-aware high-performance application mapping onto opto-electrical network-on-chip, J. Syst. Archit. 121 (2021).
[27]
Thakkar I.G., Pasricha S., Mitigating the energy impacts of VBTI aging in photonic networks-on-chip architectures with multilevel signaling, in: 2018 Ninth International Green and Sustainable Computing Conference, IGSC, IEEE, 2018, pp. 1–7.
[28]
Dehghani F., Mohammadi S., Barekatain B., Abdollahi M., Power loss analysis in thermally-tuned nanophotonic switch for on-chip interconnect, Nano Commun. Netw. 26 (2020).
[29]
Abdollahi M., Mohammadi S., Insertion loss-aware application mapping onto the optical cube-connected cycles architecture, Comput. Electr. Eng. 82 (2020).
[30]
Meyer M.C., Ahmed A.B., Okuyama Y., Abdallah A.B., FTTDOR: Microring fault-resilient optical router for reliable optical network-on-chip systems, in: Proc. IEEE MCSoC, 2015, pp. 227–234,.
[31]
Abdollahi M., Mohammadi S., Vulnerability assessment of fault-tolerant optical network-on-chips, J. Parallel Distrib. Comput. 145 (2020) 140–159.
[32]
Dehghani F., Abdollahi M., Mohammadi S., Barekatain B., HDMS: high-performance dual-shaped microring-resonator-based optical switch, Opt. Eng. 61 (3) (2022).
[33]
Koren I., Krishna C.M., Fault-Tolerant Systems, Elsevier, 2010.
[34]
Li Z., Mohamed M., Chen X., Dudley E., Meng K., et al., Reliability modeling and management of nanophotonic on-chip networks, IEEE Trans. VLSI Syst. 20 (1) (2010) 98–111.
[35]
Li Z., Qouneh A., Joshi M., Zhang W., Fu X., Li T., Aurora: A cross-layer solution for thermally resilient photonic network-on-chip, IEEE Trans. VLSI Syst. 23 (1) (2014) 170–183.
[36]
Ye Y., Zhang W., Liu W., Thermal-aware design and simulation approach for optical nocs, IEEE TCAD 39 (10) (2019) 2384–2395.
[37]
M. Abdollahi, M. Baharloo, F. Shokouhinia, M. Ebrahimi, RAP-NoC: Reliability Assessment of Photonic Network-on-Chips, A simulator, in: Proceedings of the Eight Annual ACM International Conference on Nanoscale Computing and Communication, 2021, pp. 1–7.
[38]
Dubrova E., Fault-Tolerant Design, Springer, 2013.
[39]
Fusella E., Cilardo A., Crosstalk-Aware Automated Mapping for Optical Networks-On-Chip, ACM New York, NY, USA, 2016.
[40]
Hu Z.-S., Hung F.-Y., Chen K.-J., Chang S.-J., Hsieh W.-K., Liao T.-Y., Improvement in thermal degradation of zno photodetector by embedding silver oxide nanoparticles, Functional Mater. Lett. 6 (01) (2013).
[41]
I.G. Thakkar, S.V.R. Chittamuru, S. Pasricha, Improving the reliability and energy-efficiency of high-bandwidth photonic NoC architectures with multilevel signaling, in: Proc. NOCS, 2017, pp. 1–8.
[42]
Shafiee A., Mirza A., Sunny F., Banerjee S., Chakrabarty K., Pasricha S., Nikdast M., Inexact silicon photonics: From devices to applications, in: Photonics in Switching and Computing, Optical Society of America, 2021, pp. M3C–2.
[43]
M. Nikdast, G. Nicolescu, J. Trajkovic, O. Liboiron-Ladouceur, DeEPeR: Enhancing performance and reliability in chip-scale optical interconnection networks, in: Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018, pp. 63–68.
[44]
Mirza A., Sunny F., Walsh P., Hassan K., Pasricha S., Nikdast M., Silicon photonic microring resonators: A comprehensive design-space exploration and optimization under fabrication-process variations, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. (2021).
[45]
Sunny F.P., Mirza A., Nikdast M., Pasricha S., ROBIN: A robust optical binary neural network accelerator, ACM Trans. Embed. Comput. Syst. (TECS) 20 (5s) (2021) 1–24.
[46]
Xu Y., Yang J., Melhem R., Tolerating process variations in nanophotonic on-chip networks, in: 2012 39th Annual International Symposium on Computer Architecture, ISCA, 2012, pp. 142–152,.
[47]
M. Li, W. Liu, L. Yang, P. Chen, D. Liu, N. Guan, Routing in optical network-on-chip: minimizing contention with guaranteed thermal reliability, in: Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019, pp. 364–369.
[48]
P. Guo, W. Hou, L. Guo, Q. Cai, Y. Zong, D. Huang, Reliable routing in 3D optical network-on-chip based on fault node reuse, in: Proc. RNDM, 2015, pp. 92–98.
[49]
Sunny F.P., Mirza A., Thakkar I., Nikdast M., Pasricha S., ARXON: A framework for approximate communication over photonic networks-on-chip, IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 29 (6) (2021) 1206–1219.
[50]
Bakhtiar L.A., Hosseinzadeh M., Reshadi M., Reliable communications in optical network-on-chip by use of fault tolerance approaches, Optik 137 (2017) 186–194.
[51]
Gu H., Xu J., Design of 3D optical network on chip, in: 2009 Symposium on Photonics and Optoelectronics, IEEE, 2009, pp. 1–4.
[52]
Yao K., Ye Y., Pasricha S., Xu J., Thermal-sensitive design and power optimization for a 3D torus-based optical NoC, in: Proc. IEEE/ACM ICCAD, IEEE, 2017, pp. 827–834.
[53]
Bogdan P., Marculescu R., A theoretical framework for on-chip stochastic communication analysis, in: 2006 1st International Conference on Nano-Networks and Workshops, IEEE, 2006, pp. 1–5.
[54]
Bogdan P., Dumitraş T., Marculescu R., Stochastic communication: A new paradigm for fault-tolerant networks-on-chip, VLSI Des. 2007 (2007).
[55]
Bogdan P., Marculescu R., Hitting time analysis for fault-tolerant communication at nanoscale in future multiprocessor platforms, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 30 (8) (2011) 1197–1210.
[56]
Namazi A., Abdollahi M., Safari S., Mohammadi S., A majority-based reliability-aware task mapping in high-performance homogenous NoC architectures, ACM Trans. Embed. Comput. Syst. (TECS) 17 (1) (2017) 1–31.
[57]
Namazi A., Safari S., Mohammadi S., CMV: clustered majority voting reliability-aware task scheduling for multicore real-time systems, IEEE Trans. Reliab. 68 (1) (2018) 187–200.
[58]
Meyer M., Okuyama Y., Abdallah A.B., Microring fault-resilient photonic network-on-chip for reliable high-performance many-core systems, J. Supercomput. 73 (4) (2017) 1567–1599.
[59]
Xie Y., Nikdast M., Xu J., Zhang W., Li Q., Wu X., Ye Y., Wang X., Liu W., Crosstalk noise and bit error rate analysis for optical network-on-chip, in: Design Automation Conference, IEEE, 2010, pp. 657–660.
[60]
Gu H., Xu J., Wang Z., A novel optical mesh network-on-chip for gigascale systems-on-chip, in: APCCAS 2008-2008 IEEE Asia Pacific Conference on Circuits and Systems, IEEE, 2008, pp. 1728–1731.
[61]
H. Gu, J. Xu, Z. Wang, ODOR: a microresonator-based high-performance low-cost router for optical networks-on-chip, in: Proceedings of the 6th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2008, pp. 203–208.
[62]
Poon A.W., Luo X., Xu F., Chen H., Cascaded microresonator-based matrix switch for silicon on-chip optical interconnection, Proc. IEEE 97 (7) (2009) 1216–1238.
[63]
Gu H., Mo K.H., Xu J., Zhang W., A low-power low-cost optical router for optical networks-on-chip in multiprocessor systems-on-chip, in: 2009 IEEE Computer Society Annual Symposium on VlSI, IEEE, 2009, pp. 19–24.
[64]
Ji R., Yang L., Zhang L., Tian Y., Ding J., Chen H., Lu Y., Zhou P., Zhu W., Five-port optical router for photonic networks-on-chip, Opt. Express 19 (21) (2011) 20258–20268.
[65]
Guo L., Ge Y., Hou W., Guo P., Cai Q., Wu J., A novel IP-core mapping algorithm in reliable 3D optical network-on-chips, Opt. Switch. Netw. 27 (2018) 50–57.
[66]
Gu H., Xu J., Design of 3D optical network on chip, in: 2009 Symposium on Photonics and Optoelectronics, IEEE, 2009, pp. 1–4.
[67]
Zhu K., Gu H., Yang Y., Tan W., Zhang B., A 3D multilayer optical network on chip based on mesh topology, Photonic Netw. Commun. 32 (2) (2016) 293–299.
[68]
Jadhav N.B., Chaudhari B.S., Efficient non-blocking optical router for 3D optical network-on-chip, Optik 266 (2022).
[69]
Jiang N., Michelogiannakis G., Becker D., Towles B., Dally W.J., Booksim 2.0 User’s Guide, Standford University, 2010, p. q1.
[70]
Fusella E., Cilardo A., PhoNoCMap: An application mapping tool for photonic networks-on-chip, in: 2016 Design, Automation & Test in Europe Conference & Exhibition, DATE, IEEE, 2016, pp. 289–292.

Cited By

View all
  • (2023)Analyzing Reliability Metrics of All-Optical SwitchesDistributed Computer and Communication Networks: Control, Computation, Communications10.1007/978-3-031-50482-2_26(337-348)Online publication date: 25-Sep-2023

Recommendations

Comments

Information & Contributors

Information

Published In

cover image Microprocessors & Microsystems
Microprocessors & Microsystems  Volume 99, Issue C
Jun 2023
136 pages

Publisher

Elsevier Science Publishers B. V.

Netherlands

Publication History

Published: 01 June 2023

Author Tags

  1. Silicon photonics
  2. Optical Network on Chip
  3. System-level reliability
  4. Markov chain
  5. Reliability Block Diagram

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 03 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2023)Analyzing Reliability Metrics of All-Optical SwitchesDistributed Computer and Communication Networks: Control, Computation, Communications10.1007/978-3-031-50482-2_26(337-348)Online publication date: 25-Sep-2023

View Options

View options

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media