Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Introducing the IA-64 Architecture

Published: 01 September 2000 Publication History
  • Get Citation Alerts
  • Abstract

    Advances in microprocessor design, integrated circuits, and compiler technology have increased the interest in parallel instruction execution. The IA-64 processor instruction set architecture has been designed with parallelism in mind.

    References

    [1]
    Intel IA-64 Architecture Software Developer's Manual, Vols. I-IV, Rev. 1.1, Intel Corp., July 2000; http://developer.intel.com.
    [2]
    R.P. Colwell, et al., "A VLIW Architecture for a Trace Scheduling Compiler," IEEE Trans. Computers, Aug. 1988, pp. 967-979.
    [3]
    B.R. Rau, et al., "The Cydra 5 Departmental Supercomputer: Design Philosophies, Decisions, and Trade-Offs," Computer, Jan. 1989, pp. 12-35.
    [4]
    S.A. Mahlke, et al., "Sentinel Scheduling for Superscalar and VLIW Processors," Proc. Fifth Int'l Conf. Architectural Support for Programming Languages and Operating Systems, ACM Press, New York, Oct. 1992, pp. 238-247.
    [5]
    D.M. Gallagher, et al., "Dynamic Memory Disambiguation Using the Memory Conflict Buffer," Proc. Sixth Int'l Conf. Architectural Support for Programming Languages and Operating Systems, ACM Press, Oct. 1994, pp. 183-193.
    [6]
    J. Worley, et al., "AES Finalists on PA-RISC and IA-64: Implementations & Performance," Proc. The Third Advanced Encryption Standard Candidate Conf., NIST, Washington, D.C., Apr. 2000, pp. 57-74.
    [7]
    S.A. Mahlke, et al., "A Comparison of Full and Partial Predicated Execution Support for ILP Processors," Proc. 22nd Int'l Symp. Computer Architecture, IEEE Computer Society Press, Los Alamitos, Calif., June 1995, pp. 138-150.
    [8]
    J. Bharadwaj, et al., "The Intel IA-64 Compiler Code Generator," Special Issue: Microprocessors of the 21st Century Part 2, Intel IA-64 Architecture, IEEE Micro, this issue.

    Cited By

    View all
    • (2023)Clockhands: Rename-free Instruction Set Architecture for Out-of-order ProcessorsProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614272(1-16)Online publication date: 28-Oct-2023
    • (2023)Grape: Practical and Efficient Graphed Execution for Dynamic Deep Neural Networks on GPUsProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614248(1364-1380)Online publication date: 28-Oct-2023
    • (2019)Speculative Dataflow CircuitsProceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays10.1145/3289602.3293914(162-171)Online publication date: 20-Feb-2019
    • Show More Cited By

    Index Terms

    1. Introducing the IA-64 Architecture
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image IEEE Micro
        IEEE Micro  Volume 20, Issue 5
        September 2000
        77 pages

        Publisher

        IEEE Computer Society Press

        Washington, DC, United States

        Publication History

        Published: 01 September 2000

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0

        Other Metrics

        Citations

        Cited By

        View all
        • (2023)Clockhands: Rename-free Instruction Set Architecture for Out-of-order ProcessorsProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614272(1-16)Online publication date: 28-Oct-2023
        • (2023)Grape: Practical and Efficient Graphed Execution for Dynamic Deep Neural Networks on GPUsProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614248(1364-1380)Online publication date: 28-Oct-2023
        • (2019)Speculative Dataflow CircuitsProceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays10.1145/3289602.3293914(162-171)Online publication date: 20-Feb-2019
        • (2014)Beowulf ClustersProceedings of the 20 Years of Beowulf Workshop on Honor of Thomas Sterling's 65th Birthday10.1145/2737909.2737913(28-33)Online publication date: 13-Oct-2014
        • (2013)SWSLProceedings of the ninth ACM/IEEE symposium on Architectures for networking and communications systems10.5555/2537857.2537890(191-202)Online publication date: 21-Oct-2013
        • (2012)Improving performance of nested loops on reconfigurable array processorsACM Transactions on Architecture and Code Optimization10.1145/2086696.20867118:4(1-23)Online publication date: 26-Jan-2012
        • (2011)Computer Architecture, Fifth EditionundefinedOnline publication date: 29-Sep-2011
        • (2009)Control flow obfuscation with information flow trackingProceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture10.1145/1669112.1669162(391-400)Online publication date: 12-Dec-2009
        • (2009)Modulo scheduling without overlapped lifetimesACM SIGPLAN Notices10.1145/1543136.154245444:7(1-10)Online publication date: 19-Jun-2009
        • (2009)Modulo scheduling without overlapped lifetimesProceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems10.1145/1542452.1542454(1-10)Online publication date: 19-Jun-2009
        • Show More Cited By

        View Options

        View options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media