Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

An efficient approach to multilayer layer assignment with an application to via minimization

Published: 01 November 2006 Publication History

Abstract

In this paper we present an efficient heuristic algorithm for the post-layout layer assignment and via minimization problem of multilayer gridless integrated circuit (IC), printed circuit board (PCB), and multichip module (MCM) layouts. We formulate the multilayer layer assignment problem by introducing the notion of the extended conflict-continuation (ECC) graph. When the formulated ECC graph of a layer assignment problem is a tree, we show that the problem can be solved by an algorithm which is both linear time and optimal. When the formulated ECC graph is not a tree, we present an algorithm which constructs a sequence of maximal induced subtrees from the ECC graph, then applies our linear time optimal algorithm to each of the induced subtrees to refine the layer assignment. Our experiments show that, on average, the number of vertices of an induced subtree found by our algorithm is between 12% and 34% of the total number of vertices of an ECC graph. This indicates that our algorithm is able to refine a large portion of the, layout optimally on each refinement, thus, producing highly optimized layer assignment solutions. We applied this algorithm to the via minimization problem and obtained very encouraging results, We achieved 13%-15% via reduction on the routing layout generated by the V4R router, which is a router known to have low usage of vias. Our algorithm has been successfully applied to routing examples of over 30 000 wire segments and over 40 000 vias. Finally, we outline how our layer assignment algorithm can also be used for delay and crosstalk minimization in high-performance IC, PCB, and MCM designs

Cited By

View all
  • (2023)Airgap Insertion and Layer Reassignment Under Setup and Hold Timing ConstraintsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319125242:3(987-999)Online publication date: 1-Mar-2023
  • (2017)A Mixed-Size Monolithic 3D Placer with 2D Layout InheritanceProceedings of the Great Lakes Symposium on VLSI 201710.1145/3060403.3060411(29-34)Online publication date: 10-May-2017
  • (2015)Delay-Driven and Antenna-Aware Layer Assignment in Global Routing Under Multitier Interconnect StructureIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2015.240487134:5(740-752)Online publication date: 1-May-2015
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems  Volume 18, Issue 5
November 2006
163 pages

Publisher

IEEE Press

Publication History

Published: 01 November 2006

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 12 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Airgap Insertion and Layer Reassignment Under Setup and Hold Timing ConstraintsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319125242:3(987-999)Online publication date: 1-Mar-2023
  • (2017)A Mixed-Size Monolithic 3D Placer with 2D Layout InheritanceProceedings of the Great Lakes Symposium on VLSI 201710.1145/3060403.3060411(29-34)Online publication date: 10-May-2017
  • (2015)Delay-Driven and Antenna-Aware Layer Assignment in Global Routing Under Multitier Interconnect StructureIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2015.240487134:5(740-752)Online publication date: 1-May-2015
  • (2013)Delay-driven layer assignment in global routing under multi-tier interconnect structureProceedings of the 2013 ACM International symposium on Physical Design10.1145/2451916.2451942(101-107)Online publication date: 24-Mar-2013
  • (2012)Solving VLSI design and DNA sequencing problems using bipartization of graphsComputational Optimization and Applications10.1007/s10589-010-9355-151:2(749-781)Online publication date: 1-Mar-2012
  • (2011)Post-routing layer assignment for double patterningProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950966(793-798)Online publication date: 25-Jan-2011
  • (2011)A gridless routing system with nonslicing floorplanning-based crosstalk reduction on gridless track assignmentACM Transactions on Design Automation of Electronic Systems10.1145/1929943.192995116:2(1-25)Online publication date: 7-Apr-2011
  • (2009)BoxRouter 2.0ACM Transactions on Design Automation of Electronic Systems10.1145/1497561.149757514:2(1-21)Online publication date: 7-Apr-2009
  • (2008)Non-slicing floorplanning-based crosstalk reduction on gridless track assignment for a gridless routing system with fast pseudo-tile extractionProceedings of the 2008 international symposium on Physical design10.1145/1353629.1353659(134-141)Online publication date: 13-Apr-2008
  • (2007)BoxRouter 2.0Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design10.5555/1326073.1326176(503-508)Online publication date: 5-Nov-2007
  • Show More Cited By

View Options

View options

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media