Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1109/ASP-DAC58780.2024.10473888acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Chipletizer: Repartitioning SoCs for Cost-Effective Chiplet Integration

Published: 03 April 2024 Publication History

Abstract

The stagnation of Moore's law stimulates the concept of breaking monolithic chips into smaller chiplets. However, tactic design partitioning remains an unaddressed issue despite its crucial role in chip product cost reduction. In this paper, we propose Chipletizer, a framework to guide the design partitioning for those who would benefit from chiplet reuse across a line of SoC products. The proposed generic framework supports the repartitioning of multiple SoCs into reusable chiplets economically and efficiently with user-specified parameters. Experimental results show that, compared with existing partitioning strategies, our proposed framework achieves notable cost improvement on realistic products with acceptable power and latency overheads.

References

[1]
S. Naffziger et al., "Pioneering chiplet technology and design for the amd epycTM and ryzenTM processor families: Industrial product," in ISCA, 2021, pp. 57--70.
[2]
M. Khazraee et al., "Moonwalk: Nre optimization in asic clouds," ACM SIGARCH CAN, vol. 45, no. 1, pp. 511--526, 2017.
[3]
D. Stow et al., "Investigation of cost-optimal network-on-chip for passive and active interposer systems," in SLIP, 2019, pp. 1--8.
[4]
B. Vinnakota et al., "The open domain-specific architecture," IEEE Micro, vol. 41, no. 1, pp. 30--36, 2021.
[5]
P. Ehrett et al., "chopin: composing cost-effective custom chips with algorithmic chiplets," in ICCD, 2021, pp. 395--399.
[6]
Y. Feng et al., "Chiplet actuary: A quantitative cost model and multi-chiplet architecture exploration," in DAC, 2022, pp. 121--126.
[7]
S.-J. Chen et al., "Tutorial on vlsi partitioning," VLSI design, vol. 11, no. 3, pp. 175--218, 2000.
[8]
J. Kim et al., "Architecture, chip, and package co-design flow for 2.5 d ic design enabling heterogeneous ip reuse," in DAC, 2019, pp. 1--6.
[9]
S. Bharadwaj et al., "Kite: A family of heterogeneous interposer topologies enabled via accurate interconnect modeling," in DAC, 2020, pp. 1--6.
[10]
N. E. Jerger et al., "Noc architectures for silicon interposer systems," in Micro, 2014, pp. 458--470.
[11]
J. Yin et al., "Modular routing design for chiplet-based systems," in ISCA, 2018, pp. 726--738.
[12]
D. D. Sharma et al., "Universal chiplet interconnect express (ucie)®: An open industry standard for innovations with chiplets at package level," TCPMT, 2022.
[13]
S. Osmolovskyi et al., "Optimal die placement for interposer-based 3d ics," in ASP-DAC, 2018, pp. 513--520.
[14]
A. Coskun et al., "Cross-layer co-optimization of network design and chiplet placement in 2.5-d systems," TCAD, pp. 5183--5196, 2020.
[15]
M. A. Kabir et al., "Chiplet-package co-design for 2.5d systems using standard asic cad tools," in ASP-DAC, 2020, pp. 351--356.
[16]
S. Pal et al., "A case for packageless processors," in HPCA, 2018, pp. 466--479.
[17]
T.-C. Chen et al., "Modern floorplanning based on b/sup */-tree and fast simulated annealing," TCAD, vol. 25, no. 4, pp. 637--650, 2006.
[18]
F. Li et al., "Noception: a fast ppa prediction framework for network-on-chips using graph neural network," in DATE, 2022, pp. 1035--1040.
[19]
J. Xia et al., "Kunpeng 920: The first 7-nm chiplet-based 64-core arm soc for cloud services," IEEE Micro, vol. 41, no. 5, pp. 67--75, 2021.
[20]
I. E. Papazian, "New 3rd gen intel® xeon® scalable processor (codename: Ice lake-sp)." in Hot Chips Symposium, 2020, pp. 1--22.
[21]
"Rockchip products." [Online]. Available: https://www.rock-chips.com

Cited By

View all
  • (2024)Chiplever: Towards Effortless Extension of Chiplet-based System for FHEProceedings of the 61st ACM/IEEE Design Automation Conference10.1145/3649329.3657321(1-6)Online publication date: 23-Jun-2024

Index Terms

  1. Chipletizer: Repartitioning SoCs for Cost-Effective Chiplet Integration
      Index terms have been assigned to the content through auto-classification.

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ASPDAC '24: Proceedings of the 29th Asia and South Pacific Design Automation Conference
      January 2024
      1008 pages
      ISBN:9798350393545
      DOI:10.1109/3655039

      Sponsors

      Publisher

      IEEE Press

      Publication History

      Published: 03 April 2024

      Check for updates

      Author Tags

      1. chiplet
      2. design partitioning
      3. MCM
      4. InFO
      5. 2.5D

      Qualifiers

      • Research-article

      Conference

      ASPDAC '24
      Sponsor:
      ASPDAC '24: 29th Asia and South Pacific Design Automation Conference
      January 22 - 25, 2024
      Incheon, Republic of Korea

      Acceptance Rates

      Overall Acceptance Rate 466 of 1,454 submissions, 32%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)98
      • Downloads (Last 6 weeks)6
      Reflects downloads up to 06 Feb 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Chiplever: Towards Effortless Extension of Chiplet-based System for FHEProceedings of the 61st ACM/IEEE Design Automation Conference10.1145/3649329.3657321(1-6)Online publication date: 23-Jun-2024

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media