Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1109/NOCS.2012.30guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
Article

A Hybrid Buffer Design with STT-MRAM for On-Chip Interconnects

Published: 09 May 2012 Publication History

Abstract

As the chip multiprocessor (CMP) design moves toward many-core architectures, communication delay in Network-on-Chip (NoC) has been a major bottleneck in CMP systems. Using high-density memories in input buffers helps to reduce the bottleneck through increasing throughput. Spin-Torque Transfer Magnetic RAM (STT-MRAM) can be a suitable solution due to its nature of high density and near-zero leakage power. But its long latency and high power consumption in write operations still need to be addressed. We explore the design issues in using STT-MRAM for NoC input buffers. Motivated by short intra-router latency, we use the previously proposed write latency reduction technique sacrificing retention time. Then we propose a hybrid design of input buffers using both SRAM and STT-MRAM to hide the long write latency efficiently. Considering that simple data migration in the hybrid buffer consumes more dynamic power compared to SRAM, we provide a lazy migration scheme that reduces the dynamic power consumption of the hybrid buffer. Simulation results show that the proposed scheme enhances the throughput by 21% on average.

Cited By

View all
  • (2019)DeepHiRProceedings of the ACM International Conference on Supercomputing10.1145/3330345.3330381(403-413)Online publication date: 26-Jun-2019
  • (2016)OSCARThe 49th Annual IEEE/ACM International Symposium on Microarchitecture10.5555/3195638.3195672(1-13)Online publication date: 15-Oct-2016
  • (2016)Hybrid Drowsy SRAM and STT-RAM Buffer Designs for Dark-Silicon-Aware NoCIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.253674724:10(3041-3054)Online publication date: 1-Oct-2016
  • Show More Cited By

Index Terms

  1. A Hybrid Buffer Design with STT-MRAM for On-Chip Interconnects
    Index terms have been assigned to the content through auto-classification.

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image Guide Proceedings
    NOCS '12: Proceedings of the 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip
    May 2012
    212 pages
    ISBN:9780769546773

    Publisher

    IEEE Computer Society

    United States

    Publication History

    Published: 09 May 2012

    Author Tags

    1. Network-on-Chip
    2. STT-MRAM
    3. input buffer
    4. router

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 10 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2019)DeepHiRProceedings of the ACM International Conference on Supercomputing10.1145/3330345.3330381(403-413)Online publication date: 26-Jun-2019
    • (2016)OSCARThe 49th Annual IEEE/ACM International Symposium on Microarchitecture10.5555/3195638.3195672(1-13)Online publication date: 15-Oct-2016
    • (2016)Hybrid Drowsy SRAM and STT-RAM Buffer Designs for Dark-Silicon-Aware NoCIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.253674724:10(3041-3054)Online publication date: 1-Oct-2016
    • (2015)Domain-wall memory buffer for low-energy NoCsProceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2744826(1-6)Online publication date: 7-Jun-2015
    • (2015)DimNoCProceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2744824(1-6)Online publication date: 7-Jun-2015

    View Options

    View options

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media